/////////////////////////////////////////////////////////////// // verilog source code generated by pvs2hdl translator // // written by Dirk Leinenbach and Sven Beyer at // // Saarland University, Computer Science Department, Germany // // comments, suggestions & bug reports to // // {dirkl,sbeyer}@cs.uni-sb.de // /////////////////////////////////////////////////////////////// // This file was generated at 27/03/2002 16:05:12 // Source infos // =================================================================== // File: mif.pvs Status: Up-to-date // // Working revision: 1.2 Tue Mar 26 12:48:19 2002 // Repository revision: 1.2 /usr/local/CVS/PVS/software/pvs2hdl/mif.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3002529208 1336 mif.pvs // =================================================================== // File: generic_cache.pvs Status: Up-to-date // // Working revision: 1.4 Fri Mar 22 13:38:58 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/generic_cache/generic_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 554426387 4230 ./../../hw/generic_cache/generic_cache.pvs // =================================================================== // File: generic_cache_correct.pvs Status: Up-to-date // // Working revision: 1.6 Wed Mar 27 14:57:16 2002 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/generic_cache/generic_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1543176703 7750 ./../../hw/generic_cache/generic_cache_correct.pvs // =================================================================== // File: generic_cache_nc.pvs Status: Up-to-date // // Working revision: 1.2 Fri Jul 20 11:50:09 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/generic_cache/generic_cache_nc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 4275903116 2144 ./../../hw/generic_cache/generic_cache_nc.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.1 Fri Jul 20 10:57:42 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/generic_cache/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 75296023 1165 ./../../hw/generic_cache/types.pvs // =================================================================== // File: dcache_automaton_impl.pvs Status: Up-to-date // // Working revision: 1.2 Thu Dec 20 11:55:00 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/dcacheautomaton_impl.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2690665910 7413 ./../../hw/pipe_control/dcache_automaton_impl.pvs // =================================================================== // File: dcache_automaton_impl_meets_spec.pvs Status: Up-to-date // // Working revision: 1.1 Tue Dec 18 11:29:41 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/dcache_automaton_impl_meets_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 227797711 14703 ./../../hw/pipe_control/dcache_automaton_impl_meets_spec.pvs // =================================================================== // File: dcache_automaton_impl_types.pvs Status: Up-to-date // // Working revision: 1.1 Tue Dec 18 11:29:41 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/dcache_automaton_impl_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1654897529 655 ./../../hw/pipe_control/dcache_automaton_impl_types.pvs // =================================================================== // File: dcache_automaton_spec.pvs Status: Up-to-date // // Working revision: 1.5 Fri Mar 22 13:39:01 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/pipe_control/dcache_automaton_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2984433887 19024 ./../../hw/pipe_control/dcache_automaton_spec.pvs // =================================================================== // File: dcache_automaton_states.pvs Status: Up-to-date // // Working revision: 1.2 Tue Dec 18 11:29:41 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/dcache_automaton_states.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3078992152 1036 ./../../hw/pipe_control/dcache_automaton_states.pvs // =================================================================== // File: dcache_automaton_types.pvs Status: Up-to-date // // Working revision: 1.1 Fri Dec 14 15:20:52 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/dcache_automaton_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3687720817 2596 ./../../hw/pipe_control/dcache_automaton_types.pvs // =================================================================== // File: ext_pipe_control_nc.pvs Status: Up-to-date // // Working revision: 1.1 Fri Mar 22 13:42:53 2002 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/ext_pipe_control_nc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1523951332 3241 ./../../hw/pipe_control/ext_pipe_control_nc.pvs // =================================================================== // File: ext_pipe_control_types.pvs Status: Up-to-date // // Working revision: 1.1 Fri Mar 22 13:42:54 2002 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/ext_pipe_control_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2418084109 1769 ./../../hw/pipe_control/ext_pipe_control_types.pvs // =================================================================== // File: icache_automaton_impl.pvs Status: Up-to-date // // Working revision: 1.4 Wed Feb 20 15:40:29 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/pipe_control/icache_automaton_impl.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3545623899 4774 ./../../hw/pipe_control/icache_automaton_impl.pvs // =================================================================== // File: icache_automaton_impl_meets_spec.pvs Status: Up-to-date // // Working revision: 1.1 Tue Dec 18 11:29:42 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/icache_automaton_impl_meets_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2257463613 8667 ./../../hw/pipe_control/icache_automaton_impl_meets_spec.pvs // =================================================================== // File: icache_automaton_impl_types.pvs Status: Up-to-date // // Working revision: 1.1 Tue Dec 18 11:29:42 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/icache_automaton_impl_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1769232060 520 ./../../hw/pipe_control/icache_automaton_impl_types.pvs // =================================================================== // File: icache_automaton_spec.pvs Status: Up-to-date // // Working revision: 1.7 Fri Mar 22 13:39:01 2002 // Repository revision: 1.7 /usr/local/CVS/PVS/hw/pipe_control/icache_automaton_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1833388995 10117 ./../../hw/pipe_control/icache_automaton_spec.pvs // =================================================================== // File: icache_automaton_states.pvs Status: Up-to-date // // Working revision: 1.1 Fri Dec 14 15:20:53 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/icache_automaton_states.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 4040870977 760 ./../../hw/pipe_control/icache_automaton_states.pvs // =================================================================== // File: icache_automaton_types.pvs Status: Up-to-date // // Working revision: 1.2 Tue Dec 18 11:29:42 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/icache_automaton_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2149217082 1844 ./../../hw/pipe_control/icache_automaton_types.pvs // =================================================================== // File: interface.pvs Status: Up-to-date // // Working revision: 1.4 Wed Feb 20 15:40:29 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/pipe_control/interface.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1575094843 7016 ./../../hw/pipe_control/interface.pvs // =================================================================== // File: interface_types.pvs Status: Up-to-date // // Working revision: 1.2 Wed Feb 20 15:40:29 2002 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/interface_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2383742344 1410 ./../../hw/pipe_control/interface_types.pvs // =================================================================== // File: pipe_automaton_impl.pvs Status: Up-to-date // // Working revision: 1.1 Tue Dec 18 11:29:43 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/pipe_automaton_impl.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3103542414 5377 ./../../hw/pipe_control/pipe_automaton_impl.pvs // =================================================================== // File: pipe_automaton_impl_meets_spec.pvs Status: Up-to-date // // Working revision: 1.2 Wed Dec 19 16:53:09 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/pipe_automaton_impl_meets_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 4246598288 2504 ./../../hw/pipe_control/pipe_automaton_impl_meets_spec.pvs // =================================================================== // File: pipe_automaton_impl_types.pvs Status: Up-to-date // // Working revision: 1.1 Tue Dec 18 11:29:43 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/pipe_automaton_impl_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 976869411 409 ./../../hw/pipe_control/pipe_automaton_impl_types.pvs // =================================================================== // File: pipe_automaton_spec.pvs Status: Up-to-date // // Working revision: 1.8 Fri Mar 22 13:39:01 2002 // Repository revision: 1.8 /usr/local/CVS/PVS/hw/pipe_control/pipe_automaton_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2538978860 15554 ./../../hw/pipe_control/pipe_automaton_spec.pvs // =================================================================== // File: pipe_automaton_types.pvs Status: Up-to-date // // Working revision: 1.3 Thu Dec 20 11:55:01 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/pipe_control/pipe_automaton_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1740161798 4532 ./../../hw/pipe_control/pipe_automaton_types.pvs // =================================================================== // File: pipe_control.pvs Status: Up-to-date // // Working revision: 1.7 Wed Feb 20 15:40:30 2002 // Repository revision: 1.7 /usr/local/CVS/PVS/hw/pipe_control/pipe_control.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 841276395 8852 ./../../hw/pipe_control/pipe_control.pvs // =================================================================== // File: pipe_control_correct1.pvs Status: Up-to-date // // Working revision: 1.4 Tue Feb 12 21:15:32 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_correct1.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2001697511 14870 ./../../hw/pipe_control/pipe_control_correct1.pvs // =================================================================== // File: pipe_control_correct2.pvs Status: Up-to-date // // Working revision: 1.1 Fri Jan 25 17:15:25 2002 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_correct2.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1723161251 3315 ./../../hw/pipe_control/pipe_control_correct2.pvs // =================================================================== // File: pipe_control_correct3.pvs Status: Up-to-date // // Working revision: 1.6 Fri Mar 22 13:39:02 2002 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_correct3.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 385862357 19646 ./../../hw/pipe_control/pipe_control_correct3.pvs // =================================================================== // File: pipe_control_correct4.pvs Status: Up-to-date // // Working revision: 1.17 Wed Mar 27 14:56:58 2002 // Repository revision: 1.17 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_correct4.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3383556906 36981 ./../../hw/pipe_control/pipe_control_correct4.pvs // =================================================================== // File: pipe_control_input.pvs Status: Up-to-date // // Working revision: 1.5 Wed Feb 20 15:40:30 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_input.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2711232130 6425 ./../../hw/pipe_control/pipe_control_input.pvs // =================================================================== // File: pipe_control_rewrites.pvs Status: Up-to-date // // Working revision: 1.5 Wed Feb 20 15:40:30 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_rewrites.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1862904941 19664 ./../../hw/pipe_control/pipe_control_rewrites.pvs // =================================================================== // File: pipe_control_types.pvs Status: Up-to-date // // Working revision: 1.2 Wed Mar 27 14:56:58 2002 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3883449900 2118 ./../../hw/pipe_control/pipe_control_types.pvs // =================================================================== // File: pipe_control_with_caches.pvs Status: Up-to-date // // Working revision: 1.5 Fri Mar 22 13:39:03 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_with_caches.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1366503645 39067 ./../../hw/pipe_control/pipe_control_with_caches.pvs // =================================================================== // File: pipe_control_with_caches_input.pvs Status: Up-to-date // // Working revision: 1.2 Fri Mar 22 15:47:29 2002 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_with_caches_input.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 200483756 8834 ./../../hw/pipe_control/pipe_control_with_caches_input.pvs // =================================================================== // File: pipe_control_with_caches_nc.pvs Status: Up-to-date // // Working revision: 1.4 Wed Mar 27 14:56:32 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_with_caches_nc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2118749406 7133 ./../../hw/pipe_control/pipe_control_with_caches_nc.pvs // =================================================================== // File: pipe_control_with_caches_types.pvs Status: Up-to-date // // Working revision: 1.2 Fri Mar 22 13:39:04 2002 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/pipe_control/pipe_control_with_caches_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3514390364 1579 ./../../hw/pipe_control/pipe_control_with_caches_types.pvs // =================================================================== // File: ext_pipe_types.pvs Status: Up-to-date // // Working revision: 1.1 Thu Mar 21 11:03:27 2002 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/memory_interface/ext_pipe_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3679501786 5244 ./../../hw/memory_interface/ext_pipe_types.pvs // =================================================================== // File: lemmas.pvs Status: Up-to-date // // Working revision: 1.1 Thu Dec 6 10:17:09 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/memory_interface/lemmas.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3300685890 559 ./../../hw/memory_interface/lemmas.pvs // =================================================================== // File: pipe_types.pvs Status: Up-to-date // // Working revision: 1.3 Fri Mar 22 13:39:00 2002 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/memory_interface/pipe_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 4008572049 4147 ./../../hw/memory_interface/pipe_types.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.5 Mon Dec 10 21:14:08 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/memory_interface/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1785648729 2652 ./../../hw/memory_interface/types.pvs // =================================================================== // File: types_only.pvs Status: Up-to-date // // Working revision: 1.1 Thu Dec 6 10:17:10 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/memory_interface/types_only.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2140169282 431 ./../../hw/memory_interface/types_only.pvs // =================================================================== // File: negative_induction.pvs Status: Up-to-date // // Working revision: 1.2 Wed Nov 28 15:28:34 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/predicates/negative_induction.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 125750199 511 ./../../hw/predicates/negative_induction.pvs // =================================================================== // File: predicates.pvs Status: Up-to-date // // Working revision: 1.5 Wed Nov 28 15:28:34 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/predicates/predicates.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 861145652 5614 ./../../hw/predicates/predicates.pvs // =================================================================== // File: cache_types.pvs Status: Up-to-date // // Working revision: 1.9 Fri Mar 22 13:38:53 2002 // Repository revision: 1.9 /usr/local/CVS/PVS/hw/cache/cache_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2263655042 1409 ./../../hw/cache/cache_types.pvs // =================================================================== // File: consistency.pvs Status: Up-to-date // // Working revision: 1.10 Mon Jul 16 10:29:46 2001 // Repository revision: 1.10 /usr/local/CVS/PVS/hw/cache/consistency.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2049690831 3371 ./../../hw/cache/consistency.pvs // =================================================================== // File: consistency_proof.pvs Status: Up-to-date // // Working revision: 1.9 Wed Mar 27 14:57:08 2002 // Repository revision: 1.9 /usr/local/CVS/PVS/hw/cache/consistency_proof.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3237419145 9262 ./../../hw/cache/consistency_proof.pvs // =================================================================== // File: dm_cache.pvs Status: Up-to-date // // Working revision: 1.16 Wed Mar 27 14:57:08 2002 // Repository revision: 1.16 /usr/local/CVS/PVS/hw/cache/dm_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1409220133 5394 ./../../hw/cache/dm_cache.pvs // =================================================================== // File: dm_cache_correct.pvs Status: Up-to-date // // Working revision: 1.14 Wed Mar 27 14:57:09 2002 // Repository revision: 1.14 /usr/local/CVS/PVS/hw/cache/dm_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1082314074 14022 ./../../hw/cache/dm_cache_correct.pvs // =================================================================== // File: dm_cache_no_clear.pvs Status: Up-to-date // // Working revision: 1.5 Wed Mar 27 14:57:09 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/cache/dm_cache_no_clear.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 571201413 2563 ./../../hw/cache/dm_cache_no_clear.pvs // =================================================================== // File: dm_cache_types.pvs Status: Up-to-date // // Working revision: 1.4 Thu Nov 15 16:04:13 2001 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/cache/dm_cache_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2831077186 618 ./../../hw/cache/dm_cache_types.pvs // =================================================================== // File: liveness.pvs Status: Up-to-date // // Working revision: 1.12 Wed Mar 27 14:57:09 2002 // Repository revision: 1.12 /usr/local/CVS/PVS/hw/cache/liveness.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2865552261 9534 ./../../hw/cache/liveness.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.13 Wed Mar 27 14:57:09 2002 // Repository revision: 1.13 /usr/local/CVS/PVS/hw/cache/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3716691679 4372 ./../../hw/cache/types.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.13 Mon Dec 10 21:14:07 2001 // Repository revision: 1.13 /usr/local/CVS/PVS/hw/memory/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1210200986 10603 ./../../hw/memory/types.pvs // =================================================================== // File: add.pvs Status: Up-to-date // // Working revision: 1.6 Thu Aug 30 12:41:00 2001 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/lib/add.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2231470421 1068 ./../../hw/lib/add.pvs // =================================================================== // File: bv_lemmas.pvs Status: Up-to-date // // Working revision: 1.21 Wed Aug 22 09:32:11 2001 // Repository revision: 1.21 /usr/local/CVS/PVS/hw/lib/bv_lemmas.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 655109262 4191 ./../../hw/lib/bv_lemmas.pvs // =================================================================== // File: lemmas.pvs Status: Up-to-date // // Working revision: 1.18 Thu May 31 07:44:21 2001 // Repository revision: 1.18 /usr/local/CVS/PVS/hw/lib/lemmas.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2418776109 6276 ./../../hw/lib/lemmas.pvs // =================================================================== // File: lib.pvs Status: Unknown // // Working revision: No entry for lib.pvs // Repository revision: 1.7 /usr/local/CVS/PVS/hw/lib/Attic/lib.pvs,v // // cksum: 2032813056 339 ./../../hw/lib/lib.pvs // =================================================================== // File: lib_import.pvs Status: Up-to-date // // Working revision: 1.1 Sat Jun 30 11:45:02 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/lib/lib_import.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 728650004 67 ./../../hw/lib/lib_import.pvs // =================================================================== // File: log.pvs Status: Up-to-date // // Working revision: 1.4 Thu Jan 11 13:27:59 2001 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/lib/log.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2679399482 1239 ./../../hw/lib/log.pvs // =================================================================== // File: abs.pvs Status: Up-to-date // // Working revision: 1.7 Sat Jun 30 11:45:59 2001 // Repository revision: 1.7 /usr/local/CVS/PVS/hw/basics/abs.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3847168794 575 ./../../hw/basics/abs.pvs // =================================================================== // File: adder_add.pvs Status: Up-to-date // // Working revision: 1.2 Wed Mar 14 17:26:03 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/adder_add.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2561148573 1862 ./../../hw/basics/adder_add.pvs // =================================================================== // File: adder_adder.pvs Status: Up-to-date // // Working revision: 1.2 Thu Feb 1 17:30:23 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/adder_adder.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2179597054 1022 ./../../hw/basics/adder_adder.pvs // =================================================================== // File: adder_au.pvs Status: Up-to-date // // Working revision: 1.2 Thu May 31 07:44:14 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/adder_au.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3244657468 1093 ./../../hw/basics/adder_au.pvs // =================================================================== // File: adder_basic.pvs Status: Up-to-date // // Working revision: 1.4 Sat Jun 30 11:45:59 2001 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/basics/adder_basic.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 381120289 1039 ./../../hw/basics/adder_basic.pvs // =================================================================== // File: adder_cc.pvs Status: Up-to-date // // Working revision: 1.2 Sat Jun 30 11:45:59 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/adder_cc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1067193835 1049 ./../../hw/basics/adder_cc.pvs // =================================================================== // File: adder_compound.pvs Status: Up-to-date // // Working revision: 1.3 Sat Jun 30 11:45:59 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/adder_compound.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1666744405 863 ./../../hw/basics/adder_compound.pvs // =================================================================== // File: adder_condsum.pvs Status: Up-to-date // // Working revision: 1.6 Wed Jun 6 15:36:25 2001 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/basics/adder_condsum.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1318403723 1245 ./../../hw/basics/adder_condsum.pvs // =================================================================== // File: adder_csa.pvs Status: Up-to-date // // Working revision: 1.2 Sat Jun 30 11:45:59 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/adder_csa.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2814767326 1011 ./../../hw/basics/adder_csa.pvs // =================================================================== // File: adder_faha.pvs Status: Up-to-date // // Working revision: 1.3 Sat Jun 30 11:45:59 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/adder_faha.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 220791372 551 ./../../hw/basics/adder_faha.pvs // =================================================================== // File: adder_inc.pvs Status: Up-to-date // // Working revision: 1.3 Thu Aug 30 12:40:53 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/adder_inc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 805607212 566 ./../../hw/basics/adder_inc.pvs // =================================================================== // File: adder_lem.pvs Status: Up-to-date // // Working revision: 1.5 Sat Jun 30 11:45:59 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/basics/adder_lem.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3599473139 1084 ./../../hw/basics/adder_lem.pvs // =================================================================== // File: adder_sub.pvs Status: Up-to-date // // Working revision: 1.3 Thu May 31 07:44:14 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/adder_sub.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1508434206 804 ./../../hw/basics/adder_sub.pvs // =================================================================== // File: adder_top.pvs Status: Up-to-date // // Working revision: 1.2 Mon May 14 07:47:12 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/adder_top.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 110875796 107 ./../../hw/basics/adder_top.pvs // =================================================================== // File: basics.pvs Status: Unknown // // Working revision: No entry for basics.pvs // Repository revision: 1.5 /usr/local/CVS/PVS/hw/basics/Attic/basics.pvs,v // // cksum: 3897404042 886 ./../../hw/basics/basics.pvs // =================================================================== // File: basics_import.pvs Status: Up-to-date // // Working revision: 1.1 Sat Jun 30 11:46:09 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/basics/basics_import.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1347893614 132 ./../../hw/basics/basics_import.pvs // =================================================================== // File: decoder.pvs Status: Up-to-date // // Working revision: 1.17 Sat Jun 30 11:45:59 2001 // Repository revision: 1.17 /usr/local/CVS/PVS/hw/basics/decoder.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 343423477 2062 ./../../hw/basics/decoder.pvs // =================================================================== // File: enc.pvs Status: Up-to-date // // Working revision: 1.6 Wed Aug 22 09:31:55 2001 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/basics/enc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2983709662 4095 ./../../hw/basics/enc.pvs // =================================================================== // File: lzero.pvs Status: Up-to-date // // Working revision: 1.19 Sat Jun 30 11:45:59 2001 // Repository revision: 1.19 /usr/local/CVS/PVS/hw/basics/lzero.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 744184317 4678 ./../../hw/basics/lzero.pvs // =================================================================== // File: misc.pvs Status: Up-to-date // // Working revision: 1.5 Sat Jun 30 11:45:59 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/basics/misc.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2259584845 540 ./../../hw/basics/misc.pvs // =================================================================== // File: mult_karatsuba.pvs Status: Up-to-date // // Working revision: 1.3 Sat Jun 30 11:45:59 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/mult_karatsuba.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 48080399 1148 ./../../hw/basics/mult_karatsuba.pvs // =================================================================== // File: mult_lin.pvs Status: Up-to-date // // Working revision: 1.3 Sat Jun 30 11:45:59 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/mult_lin.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 133426623 1023 ./../../hw/basics/mult_lin.pvs // =================================================================== // File: multiplier.pvs Status: Up-to-date // // Working revision: 1.8 Sat Jun 30 11:45:59 2001 // Repository revision: 1.8 /usr/local/CVS/PVS/hw/basics/multiplier.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1993222440 3890 ./../../hw/basics/multiplier.pvs // =================================================================== // File: mux_tree.pvs Status: Up-to-date // // Working revision: 1.3 Thu Jul 5 12:19:13 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/basics/mux_tree.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1059503072 1913 ./../../hw/basics/mux_tree.pvs // =================================================================== // File: neg.pvs Status: Up-to-date // // Working revision: 1.2 Thu Aug 30 12:40:54 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/basics/neg.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2875840357 260 ./../../hw/basics/neg.pvs // =================================================================== // File: pp.pvs Status: Up-to-date // // Working revision: 1.5 Sat Jun 30 11:45:59 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/basics/pp.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2775707904 1532 ./../../hw/basics/pp.pvs // =================================================================== // File: shifter.pvs Status: Up-to-date // // Working revision: 1.15 Sat Jun 30 11:45:59 2001 // Repository revision: 1.15 /usr/local/CVS/PVS/hw/basics/shifter.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2945152672 4119 ./../../hw/basics/shifter.pvs // =================================================================== // File: zero.pvs Status: Up-to-date // // Working revision: 1.12 Sat Jun 30 11:45:59 2001 // Repository revision: 1.12 /usr/local/CVS/PVS/hw/basics/zero.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2877533458 1513 ./../../hw/basics/zero.pvs // =================================================================== // File: consistency.pvs Status: Up-to-date // // Working revision: 1.6 Wed Mar 27 14:57:23 2002 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/sa_cache/consistency.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 912955334 7814 ./../../hw/sa_cache/consistency.pvs // =================================================================== // File: history.pvs Status: Up-to-date // // Working revision: 1.11 Wed Mar 27 14:57:23 2002 // Repository revision: 1.11 /usr/local/CVS/PVS/hw/sa_cache/history.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2733252546 18493 ./../../hw/sa_cache/history.pvs // =================================================================== // File: liveness.pvs Status: Up-to-date // // Working revision: 1.10 Wed Mar 27 14:57:23 2002 // Repository revision: 1.10 /usr/local/CVS/PVS/hw/sa_cache/liveness.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2958265916 14283 ./../../hw/sa_cache/liveness.pvs // =================================================================== // File: sa_cache.pvs Status: Up-to-date // // Working revision: 1.15 Wed Mar 27 14:57:23 2002 // Repository revision: 1.15 /usr/local/CVS/PVS/hw/sa_cache/sa_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3285271723 9545 ./../../hw/sa_cache/sa_cache.pvs // =================================================================== // File: sa_cache_correct.pvs Status: Up-to-date // // Working revision: 1.10 Wed Mar 27 14:57:23 2002 // Repository revision: 1.10 /usr/local/CVS/PVS/hw/sa_cache/sa_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2146343080 20004 ./../../hw/sa_cache/sa_cache_correct.pvs // =================================================================== // File: sa_cache_no_clear.pvs Status: Up-to-date // // Working revision: 1.7 Wed Mar 27 15:00:13 2002 // Repository revision: 1.7 /usr/local/CVS/PVS/hw/sa_cache/sa_cache_no_clear.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2930074729 3912 ./../../hw/sa_cache/sa_cache_no_clear.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.6 Wed Mar 27 14:57:24 2002 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/sa_cache/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1535817349 821 ./../../hw/sa_cache/types.pvs // =================================================================== // File: bw_ram.pvs Status: Up-to-date // // Working revision: 1.6 Mon Jul 2 09:42:55 2001 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/ram/bw_ram.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3420879110 2544 ./../../hw/ram/bw_ram.pvs // =================================================================== // File: bw_ram_types.pvs Status: Up-to-date // // Working revision: 1.2 Wed Aug 22 09:32:14 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/ram/bw_ram_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3514475024 427 ./../../hw/ram/bw_ram_types.pvs // =================================================================== // File: ram.pvs Status: Up-to-date // // Working revision: 1.6 Mon Jul 2 09:42:55 2001 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/ram/ram.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 520154699 1582 ./../../hw/ram/ram.pvs // =================================================================== // File: ram_types.pvs Status: Up-to-date // // Working revision: 1.1 Mon Jul 2 09:42:55 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/ram/ram_types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1822471580 297 ./../../hw/ram/ram_types.pvs // =================================================================== // File: implementation.pvs Status: Up-to-date // // Working revision: 1.6 Wed Aug 22 09:32:08 2001 // Repository revision: 1.6 /usr/local/CVS/PVS/hw/history/implementation.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 2536490153 3569 ./../../hw/history/implementation.pvs // =================================================================== // File: meets_spec.pvs Status: Up-to-date // // Working revision: 1.9 Wed Aug 22 09:32:09 2001 // Repository revision: 1.9 /usr/local/CVS/PVS/hw/history/meets_spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1554505294 12214 ./../../hw/history/meets_spec.pvs // =================================================================== // File: spec.pvs Status: Up-to-date // // Working revision: 1.5 Tue May 29 12:30:50 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/history/spec.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1075483122 9895 ./../../hw/history/spec.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.5 Wed Aug 22 09:32:09 2001 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/history/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 150349335 978 ./../../hw/history/types.pvs // =================================================================== // File: fans_cache.pvs Status: Up-to-date // // Working revision: 1.4 Wed Mar 27 14:57:13 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/fa_cache/fans_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1148855489 2364 ./../../hw/fa_cache/fans_cache.pvs // =================================================================== // File: fans_cache_correct.pvs Status: Up-to-date // // Working revision: 1.2 Tue Jul 10 09:30:59 2001 // Repository revision: 1.2 /usr/local/CVS/PVS/hw/fa_cache/fans_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1247552462 1336 ./../../hw/fa_cache/fans_cache_correct.pvs // =================================================================== // File: fansr_cache.pvs Status: Up-to-date // // Working revision: 1.3 Wed Mar 27 14:57:13 2002 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/fa_cache/fansr_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 939351319 2601 ./../../hw/fa_cache/fansr_cache.pvs // =================================================================== // File: fansr_cache_correct.pvs Status: Up-to-date // // Working revision: 1.1 Wed Jul 4 13:49:27 2001 // Repository revision: 1.1 /usr/local/CVS/PVS/hw/fa_cache/fansr_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3541803822 2493 ./../../hw/fa_cache/fansr_cache_correct.pvs // =================================================================== // File: fas_cache.pvs Status: Up-to-date // // Working revision: 1.5 Wed Mar 27 14:57:13 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/fa_cache/fas_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 1864773076 2740 ./../../hw/fa_cache/fas_cache.pvs // =================================================================== // File: fas_cache_correct.pvs Status: Up-to-date // // Working revision: 1.10 Wed Mar 27 14:57:14 2002 // Repository revision: 1.10 /usr/local/CVS/PVS/hw/fa_cache/fas_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 4125816225 12251 ./../../hw/fa_cache/fas_cache_correct.pvs // =================================================================== // File: fasr_cache.pvs Status: Up-to-date // // Working revision: 1.5 Wed Mar 27 14:57:14 2002 // Repository revision: 1.5 /usr/local/CVS/PVS/hw/fa_cache/fasr_cache.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 785558665 3142 ./../../hw/fa_cache/fasr_cache.pvs // =================================================================== // File: fasr_cache_correct.pvs Status: Up-to-date // // Working revision: 1.3 Wed Jul 4 13:49:28 2001 // Repository revision: 1.3 /usr/local/CVS/PVS/hw/fa_cache/fasr_cache_correct.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 4094166912 2862 ./../../hw/fa_cache/fasr_cache_correct.pvs // =================================================================== // File: shared_proofs.pvs Status: Up-to-date // // Working revision: 1.8 Wed Mar 27 14:57:14 2002 // Repository revision: 1.8 /usr/local/CVS/PVS/hw/fa_cache/shared_proofs.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 3277364618 8843 ./../../hw/fa_cache/shared_proofs.pvs // =================================================================== // File: types.pvs Status: Up-to-date // // Working revision: 1.4 Fri Mar 22 13:38:57 2002 // Repository revision: 1.4 /usr/local/CVS/PVS/hw/fa_cache/types.pvs,v // Sticky Tag: (none) // Sticky Date: (none) // Sticky Options: (none) // // cksum: 863299035 2362 ./../../hw/fa_cache/types.pvs module icache_automaton_impl_moore_outx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, out_8x, out_9x, out_10x, out_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x ); input clk; input a_0x; // external name: state`cache_rd input a_1x; // external name: state`fill_request input a_2x; // external name: state`wait input a_3x; // external name: state`line_fill input a_4x; // external name: state`last_fill input a_5x; // external name: state`wait4dinit input a_6x; // external name: state`linv input a_7x; // external name: state`linv2 output out_8x; // external name: out``vw output out_9x; // external name: out``valid output out_10x; // external name: out``tw output out_11x; // external name: out``req output out_12x; // external name: out``snoop output out_13x; // external name: out``allow_snoop output out_14x; // external name: out``lfill output out_15x; // external name: out``scntclr output out_16x; // external name: out``sw output out_17x; // external name: out``scntce assign out_8x = (a_6x | (a_7x | (a_4x | a_1x))); assign out_9x = a_4x; assign out_10x = a_4x; assign out_11x = a_1x; assign out_12x = a_5x; assign out_13x = (a_0x | a_5x); assign out_14x = (a_1x | (a_2x | (a_3x | a_4x))); assign out_16x = (a_3x | a_4x); assign out_15x = a_1x; assign out_17x = (a_1x | (a_3x | a_4x)); endmodule module dcache_automaton_impl_moore_outx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x, out_23x, out_24x, out_25x, out_26x, out_27x ); input clk; input a_0x; // external name: state`cache_rd input a_1x; // external name: state`fill_request input a_2x; // external name: state`wait input a_3x; // external name: state`line_fill input a_4x; // external name: state`last_fill input a_5x; // external name: state`cache_write input a_6x; // external name: state`write_request input a_7x; // external name: state`wait_write input a_8x; // external name: state`line_write input a_9x; // external name: state`last_write input a_10x; // external name: state`wait4snoop input a_11x; // external name: state`wait4mem input a_12x; // external name: state`line_invalidate output out_13x; // external name: out``valid output out_14x; // external name: out``tw output out_15x; // external name: out``dw output out_16x; // external name: out``dirty output out_17x; // external name: out``req output out_18x; // external name: out``burst output out_19x; // external name: out``wr output out_20x; // external name: out``snoop output out_21x; // external name: out``allow_snoop output out_22x; // external name: out``lwrite output out_23x; // external name: out``lfill output out_24x; // external name: out``scntclr output out_25x; // external name: out``sw output out_26x; // external name: out``cache_w output out_27x; // external name: out``scntce assign out_13x = a_4x; assign out_16x = a_5x; assign out_15x = (a_5x | a_4x); assign out_14x = a_4x; assign out_17x = (a_1x | a_6x); assign out_19x = (a_6x | (a_7x | (a_8x | a_9x))); assign out_18x = (a_1x | (a_2x | (a_3x | (a_6x | (a_7x | a_8x))))); assign out_20x = a_10x; assign out_21x = a_0x; assign out_23x = (~(a_0x | (a_11x | (a_10x | (a_5x | a_12x))))); assign out_22x = (a_6x | (a_7x | (a_8x | a_9x))); assign out_24x = (a_1x | a_6x); assign out_27x = (a_1x | (a_3x | (a_4x | (a_6x | (a_8x | a_9x))))); assign out_25x = (a_3x | a_4x); assign out_26x = a_5x; endmodule module pipe_moore_out_implx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, out_21x, out_22x, out_23x, out_24x, out_25x, out_26x, out_27x, out_28x, out_29x, out_30x, out_31x, out_32x, out_33x, out_34x, out_35x, out_36x, out_37x, out_38x, out_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x, out_46x, out_47x, out_48x, out_49x ); input clk; input a_0x; // external name: state`istate`cache_rd input a_1x; // external name: state`istate`fill_request input a_2x; // external name: state`istate`wait input a_3x; // external name: state`istate`line_fill input a_4x; // external name: state`istate`last_fill input a_5x; // external name: state`istate`wait4dinit input a_6x; // external name: state`istate`linv input a_7x; // external name: state`istate`linv2 input a_8x; // external name: state`dstate`cache_rd input a_9x; // external name: state`dstate`fill_request input a_10x; // external name: state`dstate`wait input a_11x; // external name: state`dstate`line_fill input a_12x; // external name: state`dstate`last_fill input a_13x; // external name: state`dstate`cache_write input a_14x; // external name: state`dstate`write_request input a_15x; // external name: state`dstate`wait_write input a_16x; // external name: state`dstate`line_write input a_17x; // external name: state`dstate`last_write input a_18x; // external name: state`dstate`wait4snoop input a_19x; // external name: state`dstate`wait4mem input a_20x; // external name: state`dstate`line_invalidate output out_21x; // external name: out``dcache_input`vw output out_22x; // external name: out``dcache_input`valid output out_23x; // external name: out``dcache_input`linv output out_24x; // external name: out``dcache_input`tw output out_25x; // external name: out``dcache_input`dw output out_26x; // external name: out``dcache_input`dirty output out_27x; // external name: out``icache_input`vw output out_28x; // external name: out``icache_input`valid output out_29x; // external name: out``icache_input`linv output out_30x; // external name: out``icache_input`tw output out_31x; // external name: out``req output out_32x; // external name: out``burst output out_33x; // external name: out``wr output out_34x; // external name: out``dautomaton_input`snoop output out_35x; // external name: out``dautomaton_input`snoop_allowed output out_36x; // external name: out``iautomaton_input`snoop output out_37x; // external name: out``iautomaton_input`snoop_allowed output out_38x; // external name: out``dinterface_input`lwrite output out_39x; // external name: out``dinterface_input`lfill output out_40x; // external name: out``dinterface_input`snoop_access output out_41x; // external name: out``dinterface_input`scntclr output out_42x; // external name: out``dinterface_input`sw output out_43x; // external name: out``dinterface_input`cache_w output out_44x; // external name: out``dinterface_input`scntce output out_45x; // external name: out``iinterface_input`lfill output out_46x; // external name: out``iinterface_input`snoop_access output out_47x; // external name: out``iinterface_input`scntclr output out_48x; // external name: out``iinterface_input`scntce output out_49x; // external name: out``iinterface_input`sw wire wire0x; wire wire1x; wire wire2x; wire wire3x; wire wire4x; wire wire5x; wire wire6x; wire wire7x; wire wire8x; wire wire9x; wire wire10x; wire wire11x; wire wire12x; wire wire13x; wire wire14x; wire wire15x; wire wire16x; wire wire17x; wire wire18x; // external name: icache_moore`vw wire wire19x; // external name: icache_moore`valid wire wire20x; // external name: icache_moore`tw wire wire21x; // external name: icache_moore`req wire wire22x; // external name: icache_moore`snoop wire wire23x; // external name: icache_moore`allow_snoop wire wire24x; // external name: icache_moore`lfill wire wire25x; // external name: icache_moore`scntclr wire wire26x; // external name: icache_moore`sw wire wire27x; // external name: icache_moore`scntce wire wire28x; wire wire29x; wire wire30x; wire wire31x; wire wire32x; wire wire33x; wire wire34x; wire wire35x; wire wire36x; wire wire37x; wire wire38x; wire wire39x; wire wire40x; wire wire41x; wire wire42x; wire wire43x; wire wire44x; wire wire45x; wire wire46x; wire wire47x; wire wire48x; wire wire49x; wire wire50x; wire wire51x; wire wire52x; wire wire53x; wire wire54x; wire wire55x; wire wire56x; // external name: dcache_moore`valid wire wire57x; // external name: dcache_moore`tw wire wire58x; // external name: dcache_moore`dw wire wire59x; // external name: dcache_moore`dirty wire wire60x; // external name: dcache_moore`req wire wire61x; // external name: dcache_moore`burst wire wire62x; // external name: dcache_moore`wr wire wire63x; // external name: dcache_moore`snoop wire wire64x; // external name: dcache_moore`allow_snoop wire wire65x; // external name: dcache_moore`lwrite wire wire66x; // external name: dcache_moore`lfill wire wire67x; // external name: dcache_moore`scntclr wire wire68x; // external name: dcache_moore`sw wire wire69x; // external name: dcache_moore`cache_w wire wire70x; // external name: dcache_moore`scntce assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire4x = a_4x; assign wire5x = a_5x; assign wire6x = a_6x; assign wire7x = a_7x; assign wire18x = wire8x; assign wire19x = wire9x; assign wire20x = wire10x; assign wire21x = wire11x; assign wire22x = wire12x; assign wire23x = wire13x; assign wire24x = wire14x; assign wire25x = wire15x; assign wire26x = wire16x; assign wire27x = wire17x; assign wire28x = a_8x; assign wire29x = a_9x; assign wire30x = a_10x; assign wire31x = a_11x; assign wire32x = a_12x; assign wire33x = a_13x; assign wire34x = a_14x; assign wire35x = a_15x; assign wire36x = a_16x; assign wire37x = a_17x; assign wire38x = a_18x; assign wire39x = a_19x; assign wire40x = a_20x; assign wire56x = wire41x; assign wire57x = wire42x; assign wire58x = wire43x; assign wire59x = wire44x; assign wire60x = wire45x; assign wire61x = wire46x; assign wire62x = wire47x; assign wire63x = wire48x; assign wire64x = wire49x; assign wire65x = wire50x; assign wire66x = wire51x; assign wire67x = wire52x; assign wire68x = wire53x; assign wire69x = wire54x; assign wire70x = wire55x; assign out_21x = (a_9x | (a_12x | (a_20x | (a_17x & wire24x)))); assign out_22x = wire56x; assign out_23x = (a_8x & wire22x); assign out_24x = wire57x; assign out_25x = wire58x; assign out_26x = wire59x; assign out_27x = wire18x; assign out_28x = wire19x; assign out_29x = ((a_0x | a_5x) & wire63x); assign out_30x = wire19x; assign out_31x = (wire21x | wire60x); assign out_32x = 1'b1; assign out_33x = wire62x; assign out_34x = wire22x; assign out_35x = wire23x; assign out_36x = wire63x; assign out_37x = wire64x; assign out_38x = wire65x; assign out_39x = wire66x; assign out_40x = (a_20x | (a_8x & wire22x)); assign out_41x = wire67x; assign out_42x = wire68x; assign out_43x = wire69x; assign out_44x = wire70x; assign out_45x = wire24x; assign out_46x = (a_6x | (a_7x | ((a_0x | a_5x) & wire63x))); assign out_47x = wire25x; assign out_48x = wire27x; assign out_49x = wire26x; icache_automaton_impl_moore_outx m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x, wire6x, wire7x, wire8x, wire9x, wire10x, wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x); dcache_automaton_impl_moore_outx m1 (clk,wire28x, wire29x, wire30x, wire31x, wire32x, wire33x, wire34x, wire35x, wire36x, wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x, wire49x, wire50x, wire51x, wire52x, wire53x, wire54x, wire55x); endmodule module dcache_interface_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x, out_46x, out_47x, out_48x, out_49x, out_50x ); input clk; input a_0x; // external name: automaton_output`dcache_input`vw input a_1x; // external name: automaton_output`dcache_input`valid input a_2x; // external name: automaton_output`dcache_input`linv input a_3x; // external name: automaton_output`dcache_input`tw input a_4x; // external name: automaton_output`dcache_input`dw input a_5x; // external name: automaton_output`dcache_input`dirty input a_6x; // external name: automaton_output`icache_input`vw input a_7x; // external name: automaton_output`icache_input`valid input a_8x; // external name: automaton_output`icache_input`linv input a_9x; // external name: automaton_output`icache_input`tw input a_10x; // external name: automaton_output`req input a_11x; // external name: automaton_output`burst input a_12x; // external name: automaton_output`wr input a_13x; // external name: automaton_output`dautomaton_input`snoop input a_14x; // external name: automaton_output`dautomaton_input`snoop_allowed input a_15x; // external name: automaton_output`iautomaton_input`snoop input a_16x; // external name: automaton_output`iautomaton_input`snoop_allowed input a_17x; // external name: automaton_output`dinterface_input`lwrite input a_18x; // external name: automaton_output`dinterface_input`lfill input a_19x; // external name: automaton_output`dinterface_input`snoop_access input a_20x; // external name: automaton_output`dinterface_input`scntclr input a_21x; // external name: automaton_output`dinterface_input`sw input a_22x; // external name: automaton_output`dinterface_input`cache_w input a_23x; // external name: automaton_output`dinterface_input`scntce input a_24x; // external name: automaton_output`iinterface_input`lfill input a_25x; // external name: automaton_output`iinterface_input`snoop_access input a_26x; // external name: automaton_output`iinterface_input`scntclr input a_27x; // external name: automaton_output`iinterface_input`scntce input a_28x; // external name: automaton_output`iinterface_input`sw input [28:0] a_29x; // external name: input`data`address input [63:0] a_30x; // external name: input`data`dout input a_31x; // external name: input`data`mw input a_32x; // external name: input`data`mr input [7:0] a_33x; // external name: input`data`mbw input [28:0] a_34x; // external name: input`inst`address input a_35x; // external name: input`inst`mr input a_36x; // external name: input`clear input [63:0] a_37x; // external name: memory_dout input [28:0] a_38x; // external name: address input [7:0] a_39x; // external name: cdwb output [28:0] out_40x; // external name: out``address output [7:0] out_41x; // external name: out``mwb output [63:0] out_42x; // external name: out``din output out_43x; // external name: out``scntce output out_44x; // external name: out``scntclr output out_45x; // external name: out``cache_w output out_46x; // external name: out``snoop_access output out_47x; // external name: out``sw output out_48x; // external name: out``lfill output [28:0] out_49x; // external name: out``snoop_address output [63:0] out_50x; // external name: out``mdat assign out_40x = a_38x; assign out_41x = a_39x; assign out_42x = a_30x; assign out_43x = a_23x; assign out_44x = a_20x; assign out_45x = a_22x; assign out_47x = a_21x; assign out_48x = a_18x; assign out_50x = a_37x; assign out_46x = a_19x; assign out_49x = a_34x; endmodule module address_sectx_29_2_8(clk, a_0x, out_1x ); input clk; input [28:0] a_0x; // external name: address output [1:0] out_1x; // external name: out` assign out_1x = a_0x[1:0]; endmodule module or_tree_implx_2_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output out_1x; // external name: out` assign out_1x = a_0x; endmodule module or_tree_implx_2_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output out_1x; // external name: out` wire wire0x; wire wire1x; wire wire2x; wire wire3x; assign wire0x = a_0x[1:1]; assign wire2x = a_0x[0:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_2_1 m0 (clk,wire0x, wire1x); or_tree_implx_2_1 m1 (clk,wire2x, wire3x); endmodule module or_implx_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output out_1x; // external name: out` wire [1:0] wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = wire1x; or_tree_implx_2_2 m0 (clk,wire0x, wire1x); endmodule module zero_implx_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output out_1x; // external name: out` wire [1:0] wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = (~wire1x); or_implx_2 m0 (clk,wire0x, wire1x); endmodule module equal_implx_2(clk, a_0x, a_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a input [1:0] a_1x; // external name: b output out_2x; // external name: out` wire [1:0] wire0x; wire wire1x; assign wire0x = {(a_0x[1] ^ a_1x[1]), (a_0x[0] ^ a_1x[0])}; assign out_2x = wire1x; zero_implx_2 m0 (clk,wire0x, wire1x); endmodule module address_non_sectx_29_2_8(clk, a_0x, out_1x ); input clk; input [28:0] a_0x; // external name: address output [26:0] out_1x; // external name: out` assign out_1x = a_0x[28:2]; endmodule module halfadder_implx(clk, a_0x, a_1x, out_2x ); input clk; input a_0x; // external name: a input a_1x; // external name: c output [1:0] out_2x; // external name: out` assign out_2x = {(a_0x & a_1x),(a_0x ^ a_1x)}; endmodule module carry_chain_inc_implx_1(clk, a_0x, a_1x, out_2x ); input clk; input a_0x; // external name: a input a_1x; // external name: cin output [1:0] out_2x; // external name: out` wire wire0x; wire wire1x; wire [1:0] wire2x; assign wire0x = a_0x; assign wire1x = a_1x; assign out_2x = wire2x; halfadder_implx m0 (clk,wire0x, wire1x, wire2x); endmodule module carry_chain_inc_implx_2(clk, a_0x, a_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a input a_1x; // external name: cin output [2:0] out_2x; // external name: out` wire wire0x; wire wire1x; wire [1:0] wire2x; wire [1:0] wire3x; // external name: chain wire wire4x; wire wire5x; wire [1:0] wire6x; assign wire0x = a_0x[0:0]; assign wire1x = a_1x; assign wire3x = wire2x; assign wire4x = a_0x[1]; assign wire5x = wire3x[1]; assign out_2x = {wire6x,wire3x[0:0]}; carry_chain_inc_implx_1 m0 (clk,wire0x, wire1x, wire2x); halfadder_implx m1 (clk,wire4x, wire5x, wire6x); endmodule module incr_implx_2(clk, a_0x, a_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a input a_1x; // external name: cin output [2:0] out_2x; // external name: out` wire [1:0] wire0x; wire wire1x; wire [2:0] wire2x; assign wire0x = a_0x; assign wire1x = a_1x; assign out_2x = wire2x; carry_chain_inc_implx_2 m0 (clk,wire0x, wire1x, wire2x); endmodule module interface_next_confx_29_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x ); input clk; input [1:0] a_0x; // external name: interface`scnt input [63:0] a_1x; // external name: interface`fwd_word input [28:0] a_2x; // external name: input`address input [7:0] a_3x; // external name: input`mwb input [63:0] a_4x; // external name: input`din input a_5x; // external name: input`scntce input a_6x; // external name: input`scntclr input a_7x; // external name: input`cache_w input a_8x; // external name: input`snoop_access input a_9x; // external name: input`sw input a_10x; // external name: input`lfill input [28:0] a_11x; // external name: input`snoop_address input [63:0] a_12x; // external name: input`mdat output [1:0] out_13x; // external name: out``next_conf`scnt output [63:0] out_14x; // external name: out``next_conf`fwd_word output [7:0] out_15x; // external name: out``output`cdwb output [28:0] out_16x; // external name: out``output`address output [63:0] out_17x; // external name: out``output`di output [63:0] out_18x; // external name: out``output`dout output [28:0] out_19x; // external name: out``output`madr wire [28:0] wire0x; wire [1:0] wire1x; wire [1:0] wire2x; // external name: adr_sect wire [1:0] wire3x; wire [1:0] wire4x; wire wire5x; wire wire6x; // external name: rs wire [1:0] wire7x; // external name: ca wire [7:0] wire8x; // external name: cdw wire [28:0] wire9x; wire [26:0] wire10x; wire [26:0] wire11x; // external name: a_tl wire [63:0] wire12x; // external name: fwd_in wire [1:0] wire13x; wire wire14x; wire [2:0] wire15x; wire [28:0] wire16x; wire [26:0] wire17x; assign wire0x = (a_8x) ? (a_11x) : (a_2x); assign wire2x = wire1x; assign wire3x = wire2x; assign wire4x = a_0x; assign wire6x = (wire5x & a_9x); assign wire7x = (a_10x) ? (a_0x) : (wire2x); assign wire8x = {((a_3x[7] & a_7x) | a_9x), ((a_3x[6] & a_7x) | a_9x), ((a_3x[5] & a_7x) | a_9x), ((a_3x[4] & a_7x) | a_9x), ((a_3x[3] & a_7x) | a_9x), ((a_3x[2] & a_7x) | a_9x), ((a_3x[1] & a_7x) | a_9x), ((a_3x[0] & a_7x) | a_9x)}; assign wire9x = (a_8x) ? (a_11x) : (a_2x); assign wire11x = wire10x; assign wire12x = (wire6x) ? (a_12x) : (a_1x); assign wire13x = a_0x; assign wire14x = 1'b1; assign wire16x = a_2x; assign out_13x = ((a_5x | a_6x)) ? ((a_6x) ? (2'b00) : (wire15x[1:0])) : (a_0x); assign out_14x = wire12x; assign out_18x = wire12x; assign out_19x = {wire17x,2'b00}; assign out_15x = wire8x; assign out_16x = {wire11x,wire7x}; assign out_17x = (a_7x) ? (a_4x) : (a_12x); address_sectx_29_2_8 m0 (clk,wire0x, wire1x); equal_implx_2 m1 (clk,wire3x, wire4x, wire5x); address_non_sectx_29_2_8 m2 (clk,wire9x, wire10x); incr_implx_2 m3 (clk,wire13x, wire14x, wire15x); address_non_sectx_29_2_8 m4 (clk,wire16x, wire17x); endmodule module dcache_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, a_40x, a_41x, a_42x, a_43x, a_44x, a_45x, a_46x, a_47x, a_48x, a_49x, a_50x, a_51x, a_52x, a_53x, a_54x, a_55x, a_56x, a_57x, a_58x, a_59x, a_60x, a_61x, a_62x, a_63x, out_64x, out_65x, out_66x, out_67x, out_68x, out_69x, out_70x, out_71x, out_72x, out_73x, out_74x ); input clk; input a_0x; // external name: automaton_output`dcache_input`vw input a_1x; // external name: automaton_output`dcache_input`valid input a_2x; // external name: automaton_output`dcache_input`linv input a_3x; // external name: automaton_output`dcache_input`tw input a_4x; // external name: automaton_output`dcache_input`dw input a_5x; // external name: automaton_output`dcache_input`dirty input a_6x; // external name: automaton_output`icache_input`vw input a_7x; // external name: automaton_output`icache_input`valid input a_8x; // external name: automaton_output`icache_input`linv input a_9x; // external name: automaton_output`icache_input`tw input a_10x; // external name: automaton_output`req input a_11x; // external name: automaton_output`burst input a_12x; // external name: automaton_output`wr input a_13x; // external name: automaton_output`dautomaton_input`snoop input a_14x; // external name: automaton_output`dautomaton_input`snoop_allowed input a_15x; // external name: automaton_output`iautomaton_input`snoop input a_16x; // external name: automaton_output`iautomaton_input`snoop_allowed input a_17x; // external name: automaton_output`dinterface_input`lwrite input a_18x; // external name: automaton_output`dinterface_input`lfill input a_19x; // external name: automaton_output`dinterface_input`snoop_access input a_20x; // external name: automaton_output`dinterface_input`scntclr input a_21x; // external name: automaton_output`dinterface_input`sw input a_22x; // external name: automaton_output`dinterface_input`cache_w input a_23x; // external name: automaton_output`dinterface_input`scntce input a_24x; // external name: automaton_output`iinterface_input`lfill input a_25x; // external name: automaton_output`iinterface_input`snoop_access input a_26x; // external name: automaton_output`iinterface_input`scntclr input a_27x; // external name: automaton_output`iinterface_input`scntce input a_28x; // external name: automaton_output`iinterface_input`sw input [28:0] a_29x; // external name: memory_interface_output`data`address input [63:0] a_30x; // external name: memory_interface_output`data`dout input a_31x; // external name: memory_interface_output`data`mw input a_32x; // external name: memory_interface_output`data`mr input [7:0] a_33x; // external name: memory_interface_output`data`mbw input [28:0] a_34x; // external name: memory_interface_output`inst`address input a_35x; // external name: memory_interface_output`inst`mr input a_36x; // external name: memory_interface_output`clear input a_37x; // external name: state`istate`cache_rd input a_38x; // external name: state`istate`fill_request input a_39x; // external name: state`istate`wait input a_40x; // external name: state`istate`line_fill input a_41x; // external name: state`istate`last_fill input a_42x; // external name: state`istate`wait4dinit input a_43x; // external name: state`istate`linv input a_44x; // external name: state`istate`linv2 input a_45x; // external name: state`dstate`cache_rd input a_46x; // external name: state`dstate`fill_request input a_47x; // external name: state`dstate`wait input a_48x; // external name: state`dstate`line_fill input a_49x; // external name: state`dstate`last_fill input a_50x; // external name: state`dstate`cache_write input a_51x; // external name: state`dstate`write_request input a_52x; // external name: state`dstate`wait_write input a_53x; // external name: state`dstate`line_write input a_54x; // external name: state`dstate`last_write input a_55x; // external name: state`dstate`wait4snoop input a_56x; // external name: state`dstate`wait4mem input a_57x; // external name: state`dstate`line_invalidate input [7:0] a_58x; // external name: interface_output`cdwb input [28:0] a_59x; // external name: interface_output`address input [63:0] a_60x; // external name: interface_output`di input [63:0] a_61x; // external name: interface_output`dout input [28:0] a_62x; // external name: interface_output`madr input a_63x; // external name: clr output [28:0] out_64x; // external name: out``address output [63:0] out_65x; // external name: out``di output out_66x; // external name: out``valid output out_67x; // external name: out``vw output out_68x; // external name: out``dirty output out_69x; // external name: out``dw output out_70x; // external name: out``clear output out_71x; // external name: out``tw output [7:0] out_72x; // external name: out``cdwb output out_73x; // external name: out``linv output out_74x; // external name: out``cache_rd assign out_72x = a_58x; assign out_64x = a_59x; assign out_65x = a_60x; assign out_66x = a_1x; assign out_67x = a_0x; assign out_68x = a_5x; assign out_69x = a_4x; assign out_71x = a_3x; assign out_74x = (a_45x & (a_42x | (a_32x | a_31x))); assign out_70x = a_63x; assign out_73x = a_2x; endmodule module address_linex_29_20_7_2_8(clk, a_0x, out_1x ); input clk; input [28:0] a_0x; // external name: address output [6:0] out_1x; // external name: out` assign out_1x = a_0x[8:2]; endmodule module ram2p_next_confx_7_8(clk, a_0x, a_1x, a_2x, a_3x, out_4x ); input clk; input [6:0] a_0x; // external name: radr input [6:0] a_1x; // external name: wadr input [7:0] a_2x; // external name: din input a_3x; // external name: we output [7:0] out_4x; // external name: out``dout history_ram2 m0 (clk, a_0x, a_1x, a_2x, a_3x, out_4x); endmodule module history_vector_to_wordx_4_2_29_20_7_2_8(clk, a_0x, a_1x, a_2x, a_3x, out_4x ); input clk; input [1:0] a_0x; // external name: vector(3) input [1:0] a_1x; // external name: vector(2) input [1:0] a_2x; // external name: vector(1) input [1:0] a_3x; // external name: vector(0) output [7:0] out_4x; // external name: out` assign out_4x = {a_0x[1], a_0x[0], a_1x[1], a_1x[0], a_2x[1], a_2x[0], a_3x[1], a_3x[0]}; endmodule module address_tagx_29_20_7_2_8(clk, a_0x, out_1x ); input clk; input [28:0] a_0x; // external name: address output [19:0] out_1x; // external name: out` assign out_1x = a_0x[28:9]; endmodule module ram_next_confx_7_20(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [6:0] a_0x; // external name: address input [19:0] a_1x; // external name: din input a_2x; // external name: we output [19:0] out_3x; // external name: out``dout tag_ram m0 (clk, a_0x, a_1x, a_2x, out_3x); endmodule module ram_next_confx_7_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [6:0] a_0x; // external name: address input a_1x; // external name: din input a_2x; // external name: we output out_3x; // external name: out``dout valid_ram m0 (clk, a_0x, a_1x, a_2x, out_3x); endmodule module ram_next_confx_9_8(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [8:0] a_0x; // external name: address input [7:0] a_1x; // external name: din input a_2x; // external name: we output [7:0] out_3x; // external name: out``dout data_ram m0 (clk, a_0x, a_1x, a_2x, out_3x); endmodule module bw_ram_dout_implx_9_8(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, out_8x ); input clk; input [7:0] a_0x; // external name: bytes(7) input [7:0] a_1x; // external name: bytes(6) input [7:0] a_2x; // external name: bytes(5) input [7:0] a_3x; // external name: bytes(4) input [7:0] a_4x; // external name: bytes(3) input [7:0] a_5x; // external name: bytes(2) input [7:0] a_6x; // external name: bytes(1) input [7:0] a_7x; // external name: bytes(0) output [63:0] out_8x; // external name: out` assign out_8x = {a_0x[7], a_0x[6], a_0x[5], a_0x[4], a_0x[3], a_0x[2], a_0x[1], a_0x[0], a_1x[7], a_1x[6], a_1x[5], a_1x[4], a_1x[3], a_1x[2], a_1x[1], a_1x[0], a_2x[7], a_2x[6], a_2x[5], a_2x[4], a_2x[3], a_2x[2], a_2x[1], a_2x[0], a_3x[7], a_3x[6], a_3x[5], a_3x[4], a_3x[3], a_3x[2], a_3x[1], a_3x[0], a_4x[7], a_4x[6], a_4x[5], a_4x[4], a_4x[3], a_4x[2], a_4x[1], a_4x[0], a_5x[7], a_5x[6], a_5x[5], a_5x[4], a_5x[3], a_5x[2], a_5x[1], a_5x[0], a_6x[7], a_6x[6], a_6x[5], a_6x[4], a_6x[3], a_6x[2], a_6x[1], a_6x[0], a_7x[7], a_7x[6], a_7x[5], a_7x[4], a_7x[3], a_7x[2], a_7x[1], a_7x[0]}; endmodule module bw_ram_next_confx_9_8(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [8:0] a_0x; // external name: address input [63:0] a_1x; // external name: din input [7:0] a_2x; // external name: bank_we output [63:0] out_3x; // external name: out``dout wire [8:0] wire0x; wire [7:0] wire1x; wire wire2x; wire [7:0] wire3x; wire [8:0] wire4x; wire [7:0] wire5x; wire wire6x; wire [7:0] wire7x; wire [8:0] wire8x; wire [7:0] wire9x; wire wire10x; wire [7:0] wire11x; wire [8:0] wire12x; wire [7:0] wire13x; wire wire14x; wire [7:0] wire15x; wire [8:0] wire16x; wire [7:0] wire17x; wire wire18x; wire [7:0] wire19x; wire [8:0] wire20x; wire [7:0] wire21x; wire wire22x; wire [7:0] wire23x; wire [8:0] wire24x; wire [7:0] wire25x; wire wire26x; wire [7:0] wire27x; wire [8:0] wire28x; wire [7:0] wire29x; wire wire30x; wire [7:0] wire31x; wire [7:0] wire32x; // external name: ram(7)`dout wire [7:0] wire33x; // external name: ram(6)`dout wire [7:0] wire34x; // external name: ram(5)`dout wire [7:0] wire35x; // external name: ram(4)`dout wire [7:0] wire36x; // external name: ram(3)`dout wire [7:0] wire37x; // external name: ram(2)`dout wire [7:0] wire38x; // external name: ram(1)`dout wire [7:0] wire39x; // external name: ram(0)`dout wire [7:0] wire40x; // external name: bytes(7) wire [7:0] wire41x; // external name: bytes(6) wire [7:0] wire42x; // external name: bytes(5) wire [7:0] wire43x; // external name: bytes(4) wire [7:0] wire44x; // external name: bytes(3) wire [7:0] wire45x; // external name: bytes(2) wire [7:0] wire46x; // external name: bytes(1) wire [7:0] wire47x; // external name: bytes(0) wire [7:0] wire48x; wire [7:0] wire49x; wire [7:0] wire50x; wire [7:0] wire51x; wire [7:0] wire52x; wire [7:0] wire53x; wire [7:0] wire54x; wire [7:0] wire55x; wire [63:0] wire56x; assign wire0x = a_0x; assign wire1x = a_1x[63:56]; assign wire2x = a_2x[7]; assign wire4x = a_0x; assign wire5x = a_1x[55:48]; assign wire6x = a_2x[6]; assign wire8x = a_0x; assign wire9x = a_1x[47:40]; assign wire10x = a_2x[5]; assign wire12x = a_0x; assign wire13x = a_1x[39:32]; assign wire14x = a_2x[4]; assign wire16x = a_0x; assign wire17x = a_1x[31:24]; assign wire18x = a_2x[3]; assign wire20x = a_0x; assign wire21x = a_1x[23:16]; assign wire22x = a_2x[2]; assign wire24x = a_0x; assign wire25x = a_1x[15:8]; assign wire26x = a_2x[1]; assign wire28x = a_0x; assign wire29x = a_1x[7:0]; assign wire30x = a_2x[0]; assign wire32x = wire3x; assign wire33x = wire7x; assign wire34x = wire11x; assign wire35x = wire15x; assign wire36x = wire19x; assign wire37x = wire23x; assign wire38x = wire27x; assign wire39x = wire31x; assign wire40x = wire32x; assign wire41x = wire33x; assign wire42x = wire34x; assign wire43x = wire35x; assign wire44x = wire36x; assign wire45x = wire37x; assign wire46x = wire38x; assign wire47x = wire39x; assign wire48x = wire40x; assign wire49x = wire41x; assign wire50x = wire42x; assign wire51x = wire43x; assign wire52x = wire44x; assign wire53x = wire45x; assign wire54x = wire46x; assign wire55x = wire47x; assign out_3x = wire56x; ram_next_confx_9_8 m0 (clk,wire0x, wire1x, wire2x, wire3x); ram_next_confx_9_8 m1 (clk,wire4x, wire5x, wire6x, wire7x); ram_next_confx_9_8 m2 (clk,wire8x, wire9x, wire10x, wire11x); ram_next_confx_9_8 m3 (clk,wire12x, wire13x, wire14x, wire15x); ram_next_confx_9_8 m4 (clk,wire16x, wire17x, wire18x, wire19x); ram_next_confx_9_8 m5 (clk,wire20x, wire21x, wire22x, wire23x); ram_next_confx_9_8 m6 (clk,wire24x, wire25x, wire26x, wire27x); ram_next_confx_9_8 m7 (clk,wire28x, wire29x, wire30x, wire31x); bw_ram_dout_implx_9_8 m8 (clk,wire48x, wire49x, wire50x, wire51x, wire52x, wire53x, wire54x, wire55x, wire56x); endmodule module address_linesectx_29_20_7_2_8(clk, a_0x, out_1x ); input clk; input [28:0] a_0x; // external name: address output [8:0] out_1x; // external name: out` assign out_1x = a_0x[8:0]; endmodule module or_tree_implx_20_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output out_1x; // external name: out` assign out_1x = a_0x; endmodule module or_tree_implx_20_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output out_1x; // external name: out` wire wire0x; wire wire1x; wire wire2x; wire wire3x; assign wire0x = a_0x[1:1]; assign wire2x = a_0x[0:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_20_1 m0 (clk,wire0x, wire1x); or_tree_implx_20_1 m1 (clk,wire2x, wire3x); endmodule module or_tree_implx_20_3(clk, a_0x, out_1x ); input clk; input [2:0] a_0x; // external name: b output out_1x; // external name: out` wire [1:0] wire0x; wire wire1x; wire wire2x; wire wire3x; assign wire0x = a_0x[2:1]; assign wire2x = a_0x[0:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_20_2 m0 (clk,wire0x, wire1x); or_tree_implx_20_1 m1 (clk,wire2x, wire3x); endmodule module or_tree_implx_20_5(clk, a_0x, out_1x ); input clk; input [4:0] a_0x; // external name: b output out_1x; // external name: out` wire [2:0] wire0x; wire wire1x; wire [1:0] wire2x; wire wire3x; assign wire0x = a_0x[4:2]; assign wire2x = a_0x[1:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_20_3 m0 (clk,wire0x, wire1x); or_tree_implx_20_2 m1 (clk,wire2x, wire3x); endmodule module or_tree_implx_20_10(clk, a_0x, out_1x ); input clk; input [9:0] a_0x; // external name: b output out_1x; // external name: out` wire [4:0] wire0x; wire wire1x; wire [4:0] wire2x; wire wire3x; assign wire0x = a_0x[9:5]; assign wire2x = a_0x[4:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_20_5 m0 (clk,wire0x, wire1x); or_tree_implx_20_5 m1 (clk,wire2x, wire3x); endmodule module or_tree_implx_20_20(clk, a_0x, out_1x ); input clk; input [19:0] a_0x; // external name: b output out_1x; // external name: out` wire [9:0] wire0x; wire wire1x; wire [9:0] wire2x; wire wire3x; assign wire0x = a_0x[19:10]; assign wire2x = a_0x[9:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_20_10 m0 (clk,wire0x, wire1x); or_tree_implx_20_10 m1 (clk,wire2x, wire3x); endmodule module or_implx_20(clk, a_0x, out_1x ); input clk; input [19:0] a_0x; // external name: b output out_1x; // external name: out` wire [19:0] wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = wire1x; or_tree_implx_20_20 m0 (clk,wire0x, wire1x); endmodule module zero_implx_20(clk, a_0x, out_1x ); input clk; input [19:0] a_0x; // external name: b output out_1x; // external name: out` wire [19:0] wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = (~wire1x); or_implx_20 m0 (clk,wire0x, wire1x); endmodule module equal_implx_20(clk, a_0x, a_1x, out_2x ); input clk; input [19:0] a_0x; // external name: a input [19:0] a_1x; // external name: b output out_2x; // external name: out` wire [19:0] wire0x; wire wire1x; assign wire0x = {(a_0x[19] ^ a_1x[19]), (a_0x[18] ^ a_1x[18]), (a_0x[17] ^ a_1x[17]), (a_0x[16] ^ a_1x[16]), (a_0x[15] ^ a_1x[15]), (a_0x[14] ^ a_1x[14]), (a_0x[13] ^ a_1x[13]), (a_0x[12] ^ a_1x[12]), (a_0x[11] ^ a_1x[11]), (a_0x[10] ^ a_1x[10]), (a_0x[9] ^ a_1x[9]), (a_0x[8] ^ a_1x[8]), (a_0x[7] ^ a_1x[7]), (a_0x[6] ^ a_1x[6]), (a_0x[5] ^ a_1x[5]), (a_0x[4] ^ a_1x[4]), (a_0x[3] ^ a_1x[3]), (a_0x[2] ^ a_1x[2]), (a_0x[1] ^ a_1x[1]), (a_0x[0] ^ a_1x[0])}; assign out_2x = wire1x; zero_implx_20 m0 (clk,wire0x, wire1x); endmodule module dm_cache_hit_implx_29_20_7_2_8(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [19:0] a_0x; // external name: tag input a_1x; // external name: valid input [19:0] a_2x; // external name: adr_tag output out_3x; // external name: out` wire [19:0] wire0x; wire [19:0] wire1x; wire wire2x; assign wire0x = a_0x; assign wire1x = a_2x; assign out_3x = (a_1x & wire2x); equal_implx_20 m0 (clk,wire0x, wire1x, wire2x); endmodule module dm_cache_nc_next_configx_29_20_7_2_8(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, out_11x, out_12x, out_13x, out_14x ); input clk; input [28:0] a_0x; // external name: input`address input [63:0] a_1x; // external name: input`di input a_2x; // external name: input`valid input a_3x; // external name: input`vw input a_4x; // external name: input`dirty input a_5x; // external name: input`dw input a_6x; // external name: input`clear input a_7x; // external name: input`tw input [7:0] a_8x; // external name: input`cdwb input a_9x; // external name: input`linv input a_10x; // external name: input`cache_rd output out_11x; // external name: out``output`hit output out_12x; // external name: out``output`dirty output [28:0] out_13x; // external name: out``output`ev_address output [63:0] out_14x; // external name: out``output`dout wire [28:0] wire0x; wire [6:0] wire1x; wire [6:0] wire2x; // external name: adr_line wire [28:0] wire3x; wire [19:0] wire4x; wire [19:0] wire5x; // external name: adr_tag wire [6:0] wire6x; wire [19:0] wire7x; wire wire8x; wire [19:0] wire9x; wire [19:0] wire10x; // external name: next_tag`dout wire [6:0] wire11x; wire wire12x; wire wire13x; wire wire14x; wire wire15x; // external name: next_valid`dout wire [6:0] wire16x; wire wire17x; wire wire18x; wire wire19x; wire wire20x; // external name: next_dirty`dout wire [28:0] wire21x; wire [8:0] wire22x; wire [8:0] wire23x; wire [63:0] wire24x; wire [7:0] wire25x; wire [63:0] wire26x; wire [63:0] wire27x; // external name: next_data`dout wire [19:0] wire28x; wire wire29x; wire [19:0] wire30x; wire wire31x; wire [28:0] wire32x; wire [8:0] wire33x; assign wire0x = a_0x; assign wire2x = wire1x; assign wire3x = a_0x; assign wire5x = wire4x; assign wire6x = wire2x; assign wire7x = wire5x; assign wire8x = a_7x; assign wire10x = wire9x; assign wire11x = wire2x; assign wire12x = a_2x; assign wire13x = a_3x; assign wire15x = wire14x; assign wire16x = wire2x; assign wire17x = a_4x; assign wire18x = a_5x; assign wire20x = wire19x; assign wire21x = a_0x; assign wire23x = wire22x; assign wire24x = a_1x; assign wire25x = a_8x; assign wire27x = wire26x; assign wire28x = wire10x; assign wire29x = wire15x; assign wire30x = wire5x; assign wire32x = a_0x; assign out_11x = wire31x; assign out_14x = wire27x; assign out_12x = (wire20x & wire15x); assign out_13x = {wire10x,wire33x}; address_linex_29_20_7_2_8 m0 (clk,wire0x, wire1x); address_tagx_29_20_7_2_8 m1 (clk,wire3x, wire4x); ram_next_confx_7_20 m2 (clk,wire6x, wire7x, wire8x, wire9x); ram_next_confx_7_1 m3 (clk,wire11x, wire12x, wire13x, wire14x); ram_next_confx_7_1 m4 (clk,wire16x, wire17x, wire18x, wire19x); address_linesectx_29_20_7_2_8 m5 (clk,wire21x, wire22x); bw_ram_next_confx_9_8 m6 (clk,wire23x, wire24x, wire25x, wire26x); dm_cache_hit_implx_29_20_7_2_8 m7 (clk,wire28x, wire29x, wire30x, wire31x); address_linesectx_29_20_7_2_8 m8 (clk,wire32x, wire33x); endmodule module dm_cache_inputx_4_2_29_20_7_2_8_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input [3:0] a_0x; // external name: way_reg input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`di input a_3x; // external name: input`valid input a_4x; // external name: input`vw input a_5x; // external name: input`dirty input a_6x; // external name: input`dw input a_7x; // external name: input`clear input a_8x; // external name: input`tw input [7:0] a_9x; // external name: input`cdwb input a_10x; // external name: input`linv input a_11x; // external name: input`cache_rd output [28:0] out_12x; // external name: out``address output [63:0] out_13x; // external name: out``di output out_14x; // external name: out``valid output out_15x; // external name: out``vw output out_16x; // external name: out``dirty output out_17x; // external name: out``dw output out_18x; // external name: out``clear output out_19x; // external name: out``tw output [7:0] out_20x; // external name: out``cdwb output out_21x; // external name: out``linv output out_22x; // external name: out``cache_rd assign out_12x = a_1x; assign out_13x = a_2x; assign out_14x = a_3x; assign out_15x = (a_4x & a_0x[3]); assign out_16x = a_5x; assign out_17x = (a_6x & a_0x[3]); assign out_18x = a_7x; assign out_19x = (a_8x & a_0x[3]); assign out_20x = {(a_9x[7] & a_0x[3]), (a_9x[6] & a_0x[3]), (a_9x[5] & a_0x[3]), (a_9x[4] & a_0x[3]), (a_9x[3] & a_0x[3]), (a_9x[2] & a_0x[3]), (a_9x[1] & a_0x[3]), (a_9x[0] & a_0x[3])}; assign out_21x = a_10x; assign out_22x = a_11x; endmodule module dm_cache_inputx_4_2_29_20_7_2_8_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input [3:0] a_0x; // external name: way_reg input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`di input a_3x; // external name: input`valid input a_4x; // external name: input`vw input a_5x; // external name: input`dirty input a_6x; // external name: input`dw input a_7x; // external name: input`clear input a_8x; // external name: input`tw input [7:0] a_9x; // external name: input`cdwb input a_10x; // external name: input`linv input a_11x; // external name: input`cache_rd output [28:0] out_12x; // external name: out``address output [63:0] out_13x; // external name: out``di output out_14x; // external name: out``valid output out_15x; // external name: out``vw output out_16x; // external name: out``dirty output out_17x; // external name: out``dw output out_18x; // external name: out``clear output out_19x; // external name: out``tw output [7:0] out_20x; // external name: out``cdwb output out_21x; // external name: out``linv output out_22x; // external name: out``cache_rd assign out_12x = a_1x; assign out_13x = a_2x; assign out_14x = a_3x; assign out_15x = (a_4x & a_0x[2]); assign out_16x = a_5x; assign out_17x = (a_6x & a_0x[2]); assign out_18x = a_7x; assign out_19x = (a_8x & a_0x[2]); assign out_20x = {(a_9x[7] & a_0x[2]), (a_9x[6] & a_0x[2]), (a_9x[5] & a_0x[2]), (a_9x[4] & a_0x[2]), (a_9x[3] & a_0x[2]), (a_9x[2] & a_0x[2]), (a_9x[1] & a_0x[2]), (a_9x[0] & a_0x[2])}; assign out_21x = a_10x; assign out_22x = a_11x; endmodule module dm_cache_inputx_4_2_29_20_7_2_8_1(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input [3:0] a_0x; // external name: way_reg input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`di input a_3x; // external name: input`valid input a_4x; // external name: input`vw input a_5x; // external name: input`dirty input a_6x; // external name: input`dw input a_7x; // external name: input`clear input a_8x; // external name: input`tw input [7:0] a_9x; // external name: input`cdwb input a_10x; // external name: input`linv input a_11x; // external name: input`cache_rd output [28:0] out_12x; // external name: out``address output [63:0] out_13x; // external name: out``di output out_14x; // external name: out``valid output out_15x; // external name: out``vw output out_16x; // external name: out``dirty output out_17x; // external name: out``dw output out_18x; // external name: out``clear output out_19x; // external name: out``tw output [7:0] out_20x; // external name: out``cdwb output out_21x; // external name: out``linv output out_22x; // external name: out``cache_rd assign out_12x = a_1x; assign out_13x = a_2x; assign out_14x = a_3x; assign out_15x = (a_4x & a_0x[1]); assign out_16x = a_5x; assign out_17x = (a_6x & a_0x[1]); assign out_18x = a_7x; assign out_19x = (a_8x & a_0x[1]); assign out_20x = {(a_9x[7] & a_0x[1]), (a_9x[6] & a_0x[1]), (a_9x[5] & a_0x[1]), (a_9x[4] & a_0x[1]), (a_9x[3] & a_0x[1]), (a_9x[2] & a_0x[1]), (a_9x[1] & a_0x[1]), (a_9x[0] & a_0x[1])}; assign out_21x = a_10x; assign out_22x = a_11x; endmodule module dm_cache_inputx_4_2_29_20_7_2_8_0(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input [3:0] a_0x; // external name: way_reg input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`di input a_3x; // external name: input`valid input a_4x; // external name: input`vw input a_5x; // external name: input`dirty input a_6x; // external name: input`dw input a_7x; // external name: input`clear input a_8x; // external name: input`tw input [7:0] a_9x; // external name: input`cdwb input a_10x; // external name: input`linv input a_11x; // external name: input`cache_rd output [28:0] out_12x; // external name: out``address output [63:0] out_13x; // external name: out``di output out_14x; // external name: out``valid output out_15x; // external name: out``vw output out_16x; // external name: out``dirty output out_17x; // external name: out``dw output out_18x; // external name: out``clear output out_19x; // external name: out``tw output [7:0] out_20x; // external name: out``cdwb output out_21x; // external name: out``linv output out_22x; // external name: out``cache_rd assign out_12x = a_1x; assign out_13x = a_2x; assign out_14x = a_3x; assign out_15x = (a_4x & a_0x[0]); assign out_16x = a_5x; assign out_17x = (a_6x & a_0x[0]); assign out_18x = a_7x; assign out_19x = (a_8x & a_0x[0]); assign out_20x = {(a_9x[7] & a_0x[0]), (a_9x[6] & a_0x[0]), (a_9x[5] & a_0x[0]), (a_9x[4] & a_0x[0]), (a_9x[3] & a_0x[0]), (a_9x[2] & a_0x[0]), (a_9x[1] & a_0x[0]), (a_9x[0] & a_0x[0])}; assign out_21x = a_10x; assign out_22x = a_11x; endmodule module encf_recx_1(clk, a_0x, out_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a output out_1x; // external name: out``or_tree output out_2x; // external name: out``encf_out assign out_1x = (a_0x[1] | a_0x[0]); assign out_2x = a_0x[1]; endmodule module encf_recx_2(clk, a_0x, out_1x, out_2x ); input clk; input [3:0] a_0x; // external name: a output out_1x; // external name: out``or_tree output [1:0] out_2x; // external name: out``encf_out wire [1:0] wire0x; wire wire1x; wire wire2x; wire wire3x; // external name: encf_lo`or_tree wire wire4x; // external name: encf_lo`encf_out wire [1:0] wire5x; wire wire6x; wire wire7x; wire wire8x; // external name: encf_hi`or_tree wire wire9x; // external name: encf_hi`encf_out assign wire0x = a_0x[1:0]; assign wire3x = wire1x; assign wire4x = wire2x; assign wire5x = a_0x[3:2]; assign wire8x = wire6x; assign wire9x = wire7x; assign out_1x = (wire3x | wire8x); assign out_2x = {wire8x, (wire4x | wire9x)}; encf_recx_1 m0 (clk,wire0x, wire1x, wire2x); encf_recx_1 m1 (clk,wire5x, wire6x, wire7x); endmodule module encoderf_implx_2_4(clk, a_0x, out_1x, out_2x ); input clk; input [3:0] a_0x; // external name: a output out_1x; // external name: out``or_tree output [1:0] out_2x; // external name: out``encf_out wire [3:0] wire0x; wire wire1x; wire [1:0] wire2x; assign wire0x = a_0x; assign out_1x = wire1x; assign out_2x = wire2x; encf_recx_2 m0 (clk,wire0x, wire1x, wire2x); endmodule module sa_cache_forward_mux_implx_4_2_29_20_7_2_8(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, out_9x, out_10x, out_11x, out_12x ); input clk; input [1:0] a_0x; // external name: hist_reg(3) input [1:0] a_1x; // external name: hist_reg(2) input [1:0] a_2x; // external name: hist_reg(1) input [1:0] a_3x; // external name: hist_reg(0) input [1:0] a_4x; // external name: history_out(3) input [1:0] a_5x; // external name: history_out(2) input [1:0] a_6x; // external name: history_out(1) input [1:0] a_7x; // external name: history_out(0) input a_8x; // external name: equal output [1:0] out_9x; // external name: out`(3) output [1:0] out_10x; // external name: out`(2) output [1:0] out_11x; // external name: out`(1) output [1:0] out_12x; // external name: out`(0) assign out_9x = (a_8x) ? (a_0x) : (a_4x); assign out_10x = (a_8x) ? (a_1x) : (a_5x); assign out_11x = (a_8x) ? (a_2x) : (a_6x); assign out_12x = (a_8x) ? (a_3x) : (a_7x); endmodule module history_word_to_vectorx_4_2_29_20_7_2_8(clk, a_0x, out_1x, out_2x, out_3x, out_4x ); input clk; input [7:0] a_0x; // external name: word output [1:0] out_1x; // external name: out`(3) output [1:0] out_2x; // external name: out`(2) output [1:0] out_3x; // external name: out`(1) output [1:0] out_4x; // external name: out`(0) assign out_1x = a_0x[7:6]; assign out_2x = a_0x[5:4]; assign out_3x = a_0x[3:2]; assign out_4x = a_0x[1:0]; endmodule module zero_implx_7(clk, a_0x, out_1x ); input clk; input [6:0] a_0x; // external name: b output out_1x; // external name: out` zero_impl_7 m0 (clk, a_0x, out_1x); endmodule module equal_implx_7(clk, a_0x, a_1x, out_2x ); input clk; input [6:0] a_0x; // external name: a input [6:0] a_1x; // external name: b output out_2x; // external name: out` wire [6:0] wire0x; wire wire1x; assign wire0x = {(a_0x[6] ^ a_1x[6]), (a_0x[5] ^ a_1x[5]), (a_0x[4] ^ a_1x[4]), (a_0x[3] ^ a_1x[3]), (a_0x[2] ^ a_1x[2]), (a_0x[1] ^ a_1x[1]), (a_0x[0] ^ a_1x[0])}; assign out_2x = wire1x; zero_implx_7 m0 (clk,wire0x, wire1x); endmodule module initial_history_vectorx_4_2(clk, out_0x, out_1x, out_2x, out_3x ); input clk; output [1:0] out_0x; // external name: out`(3) output [1:0] out_1x; // external name: out`(2) output [1:0] out_2x; // external name: out`(1) output [1:0] out_3x; // external name: out`(0) assign out_0x = 2'd3; assign out_1x = 2'd2; assign out_2x = 2'd1; assign out_3x = 2'd0; endmodule module pp_recx_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: a output out_1x; // external name: out` assign out_1x = a_0x; endmodule module pp_recx_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: a output [1:0] out_1x; // external name: out` wire wire0x; wire wire1x; wire wire2x; // external name: pp_rec_half assign wire0x = (a_0x[1] | a_0x[0]); assign wire2x = wire1x; assign out_1x = {wire2x, a_0x[0]}; pp_recx_1 m0 (clk,wire0x, wire1x); endmodule module pp_recx_4(clk, a_0x, out_1x ); input clk; input [3:0] a_0x; // external name: a output [3:0] out_1x; // external name: out` wire [1:0] wire0x; wire [1:0] wire1x; wire [1:0] wire2x; // external name: pp_rec_half assign wire0x = {(a_0x[3] | a_0x[2]), (a_0x[1] | a_0x[0])}; assign wire2x = wire1x; assign out_1x = {wire2x[1], (wire2x[0] | a_0x[2]), wire2x[0], a_0x[0]}; pp_recx_2 m0 (clk,wire0x, wire1x); endmodule module pp_implx_4(clk, a_0x, out_1x ); input clk; input [3:0] a_0x; // external name: a output [3:0] out_1x; // external name: out` wire [3:0] wire0x; wire [3:0] wire1x; assign wire0x = a_0x; assign out_1x = wire1x; pp_recx_4 m0 (clk,wire0x, wire1x); endmodule module hsel_inputx_4_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, out_6x ); input clk; input [1:0] a_0x; // external name: history_vector(3) input [1:0] a_1x; // external name: history_vector(2) input [1:0] a_2x; // external name: history_vector(1) input [1:0] a_3x; // external name: history_vector(0) input [1:0] a_4x; // external name: hit_vector_binary input a_5x; // external name: hit output [3:0] out_6x; // external name: out` wire [1:0] wire0x; wire [1:0] wire1x; wire wire2x; wire wire3x; // external name: eq wire [1:0] wire4x; wire [1:0] wire5x; wire wire6x; wire wire7x; // external name: eq wire [1:0] wire8x; wire [1:0] wire9x; wire wire10x; wire wire11x; // external name: eq wire [1:0] wire12x; wire [1:0] wire13x; wire wire14x; wire wire15x; // external name: eq wire [3:0] wire16x; wire [3:0] wire17x; assign wire0x = a_0x; assign wire1x = a_4x; assign wire3x = wire2x; assign wire4x = a_1x; assign wire5x = a_4x; assign wire7x = wire6x; assign wire8x = a_2x; assign wire9x = a_4x; assign wire11x = wire10x; assign wire12x = a_3x; assign wire13x = a_4x; assign wire15x = wire14x; assign wire16x = {(wire3x & a_5x), (wire7x & a_5x), (wire11x & a_5x), (wire15x & a_5x)}; assign out_6x = wire17x; equal_implx_2 m0 (clk,wire0x, wire1x, wire2x); equal_implx_2 m1 (clk,wire4x, wire5x, wire6x); equal_implx_2 m2 (clk,wire8x, wire9x, wire10x); equal_implx_2 m3 (clk,wire12x, wire13x, wire14x); pp_implx_4 m4 (clk,wire16x, wire17x); endmodule module evx_4_2(clk, a_0x, a_1x, a_2x, a_3x, out_4x ); input clk; input [1:0] a_0x; // external name: history_vector(3) input [1:0] a_1x; // external name: history_vector(2) input [1:0] a_2x; // external name: history_vector(1) input [1:0] a_3x; // external name: history_vector(0) output [1:0] out_4x; // external name: out` assign out_4x = a_0x; endmodule module hsel_a_muxx_4_2(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [1:0] a_0x; // external name: ev_element input [1:0] a_1x; // external name: hit_vector_binary input a_2x; // external name: hit output [1:0] out_3x; // external name: out` assign out_3x = (a_2x) ? (a_1x) : (a_0x); endmodule module hsel_b_muxx_4_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, out_5x, out_6x, out_7x ); input clk; input [3:0] a_0x; // external name: pp_out input [1:0] a_1x; // external name: history_vector(3) input [1:0] a_2x; // external name: history_vector(2) input [1:0] a_3x; // external name: history_vector(1) input [1:0] a_4x; // external name: history_vector(0) output [1:0] out_5x; // external name: out`(2) output [1:0] out_6x; // external name: out`(1) output [1:0] out_7x; // external name: out`(0) assign out_5x = (a_0x[2]) ? (a_1x) : (a_2x); assign out_6x = (a_0x[1]) ? (a_2x) : (a_3x); assign out_7x = (a_0x[0]) ? (a_3x) : (a_4x); endmodule module next_history_vectorx_4_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, out_8x, out_9x, out_10x, out_11x ); input clk; input [1:0] a_0x; // external name: history_vector(3) input [1:0] a_1x; // external name: history_vector(2) input [1:0] a_2x; // external name: history_vector(1) input [1:0] a_3x; // external name: history_vector(0) input [3:0] a_4x; // external name: hit_vector input [1:0] a_5x; // external name: hit_vector_binary input a_6x; // external name: hit input a_7x; // external name: linv output [1:0] out_8x; // external name: out`(3) output [1:0] out_9x; // external name: out`(2) output [1:0] out_10x; // external name: out`(1) output [1:0] out_11x; // external name: out`(0) wire [1:0] wire0x; wire [1:0] wire1x; wire [1:0] wire2x; wire [1:0] wire3x; wire [1:0] wire4x; wire wire5x; wire [3:0] wire6x; wire [3:0] wire7x; // external name: pp_output wire [1:0] wire8x; wire [1:0] wire9x; wire [1:0] wire10x; wire [1:0] wire11x; wire [1:0] wire12x; wire [1:0] wire13x; // external name: ev_element wire [1:0] wire14x; wire [1:0] wire15x; wire wire16x; wire [1:0] wire17x; wire [1:0] wire18x; // external name: a_mux wire [3:0] wire19x; wire [1:0] wire20x; wire [1:0] wire21x; wire [1:0] wire22x; wire [1:0] wire23x; wire [1:0] wire24x; wire [1:0] wire25x; wire [1:0] wire26x; wire [1:0] wire27x; // external name: b_mux(2) wire [1:0] wire28x; // external name: b_mux(1) wire [1:0] wire29x; // external name: b_mux(0) assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire4x = a_5x; assign wire5x = a_6x; assign wire7x = wire6x; assign wire8x = a_0x; assign wire9x = a_1x; assign wire10x = a_2x; assign wire11x = a_3x; assign wire13x = wire12x; assign wire14x = wire13x; assign wire15x = a_5x; assign wire16x = a_6x; assign wire18x = wire17x; assign wire19x = wire7x; assign wire20x = a_0x; assign wire21x = a_1x; assign wire22x = a_2x; assign wire23x = a_3x; assign wire27x = wire24x; assign wire28x = wire25x; assign wire29x = wire26x; assign out_8x = (a_7x) ? (wire18x) : (wire27x); assign out_9x = (a_7x) ? (wire27x) : (wire28x); assign out_10x = (a_7x) ? (wire28x) : (wire29x); assign out_11x = (a_7x) ? (wire29x) : (wire18x); hsel_inputx_4_2 m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x, wire6x); evx_4_2 m1 (clk,wire8x, wire9x, wire10x, wire11x, wire12x); hsel_a_muxx_4_2 m2 (clk,wire14x, wire15x, wire16x, wire17x); hsel_b_muxx_4_2 m3 (clk,wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x); endmodule module decoder_tree_implx_2_4_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output [1:0] out_1x; // external name: out` assign out_1x = {a_0x,(~a_0x)}; endmodule module decoder_tree_implx_2_4_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output [3:0] out_1x; // external name: out` wire wire0x; wire [1:0] wire1x; wire [1:0] wire2x; // external name: dec_lo wire wire3x; wire [1:0] wire4x; wire [1:0] wire5x; // external name: dec_hi assign wire0x = a_0x[0:0]; assign wire2x = wire1x; assign wire3x = a_0x[1:1]; assign wire5x = wire4x; assign out_1x = {(wire2x[1] & wire5x[1]), (wire2x[0] & wire5x[1]), (wire2x[1] & wire5x[0]), (wire2x[0] & wire5x[0])}; decoder_tree_implx_2_4_1 m0 (clk,wire0x, wire1x); decoder_tree_implx_2_4_1 m1 (clk,wire3x, wire4x); endmodule module decoder_implx_2_4(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output [3:0] out_1x; // external name: out` wire [1:0] wire0x; wire [3:0] wire1x; assign wire0x = a_0x; assign out_1x = wire1x; decoder_tree_implx_2_4_2 m0 (clk,wire0x, wire1x); endmodule module next_way_regx_4_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, out_6x ); input clk; input [1:0] a_0x; // external name: history_vector(3) input [1:0] a_1x; // external name: history_vector(2) input [1:0] a_2x; // external name: history_vector(1) input [1:0] a_3x; // external name: history_vector(0) input [3:0] a_4x; // external name: hit_vector input a_5x; // external name: hit output [3:0] out_6x; // external name: out` wire [1:0] wire0x; wire [1:0] wire1x; wire [1:0] wire2x; wire [1:0] wire3x; wire [1:0] wire4x; wire [1:0] wire5x; wire [3:0] wire6x; wire [3:0] wire7x; // external name: dec assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire5x = wire4x; assign wire7x = wire6x; assign out_6x = {((wire7x[3] & (~a_5x)) | a_4x[3]), ((wire7x[2] & (~a_5x)) | a_4x[2]), ((wire7x[1] & (~a_5x)) | a_4x[1]), ((wire7x[0] & (~a_5x)) | a_4x[0])}; evx_4_2 m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x); decoder_implx_2_4 m1 (clk,wire5x, wire6x); endmodule module or_tree_implx_4_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output out_1x; // external name: out` assign out_1x = a_0x; endmodule module or_tree_implx_4_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: b output out_1x; // external name: out` wire wire0x; wire wire1x; wire wire2x; wire wire3x; assign wire0x = a_0x[1:1]; assign wire2x = a_0x[0:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_4_1 m0 (clk,wire0x, wire1x); or_tree_implx_4_1 m1 (clk,wire2x, wire3x); endmodule module or_tree_implx_4_4(clk, a_0x, out_1x ); input clk; input [3:0] a_0x; // external name: b output out_1x; // external name: out` wire [1:0] wire0x; wire wire1x; wire [1:0] wire2x; wire wire3x; assign wire0x = a_0x[3:2]; assign wire2x = a_0x[1:0]; assign out_1x = (wire1x | wire3x); or_tree_implx_4_2 m0 (clk,wire0x, wire1x); or_tree_implx_4_2 m1 (clk,wire2x, wire3x); endmodule module or_implx_4(clk, a_0x, out_1x ); input clk; input [3:0] a_0x; // external name: b output out_1x; // external name: out` wire [3:0] wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = wire1x; or_tree_implx_4_4 m0 (clk,wire0x, wire1x); endmodule module mux_tree_unary_select_implx_64_4(clk, a_0x, a_1x, a_2x, a_3x, a_4x, out_5x ); input clk; input [63:0] a_0x; // external name: a(3) input [63:0] a_1x; // external name: a(2) input [63:0] a_2x; // external name: a(1) input [63:0] a_3x; // external name: a(0) input [3:0] a_4x; // external name: sel output [63:0] out_5x; // external name: out` wire [3:0] wire0x; wire wire1x; wire [3:0] wire2x; wire wire3x; wire [3:0] wire4x; wire wire5x; wire [3:0] wire6x; wire wire7x; wire [3:0] wire8x; wire wire9x; wire [3:0] wire10x; wire wire11x; wire [3:0] wire12x; wire wire13x; wire [3:0] wire14x; wire wire15x; wire [3:0] wire16x; wire wire17x; wire [3:0] wire18x; wire wire19x; wire [3:0] wire20x; wire wire21x; wire [3:0] wire22x; wire wire23x; wire [3:0] wire24x; wire wire25x; wire [3:0] wire26x; wire wire27x; wire [3:0] wire28x; wire wire29x; wire [3:0] wire30x; wire wire31x; wire [3:0] wire32x; wire wire33x; wire [3:0] wire34x; wire wire35x; wire [3:0] wire36x; wire wire37x; wire [3:0] wire38x; wire wire39x; wire [3:0] wire40x; wire wire41x; wire [3:0] wire42x; wire wire43x; wire [3:0] wire44x; wire wire45x; wire [3:0] wire46x; wire wire47x; wire [3:0] wire48x; wire wire49x; wire [3:0] wire50x; wire wire51x; wire [3:0] wire52x; wire wire53x; wire [3:0] wire54x; wire wire55x; wire [3:0] wire56x; wire wire57x; wire [3:0] wire58x; wire wire59x; wire [3:0] wire60x; wire wire61x; wire [3:0] wire62x; wire wire63x; wire [3:0] wire64x; wire wire65x; wire [3:0] wire66x; wire wire67x; wire [3:0] wire68x; wire wire69x; wire [3:0] wire70x; wire wire71x; wire [3:0] wire72x; wire wire73x; wire [3:0] wire74x; wire wire75x; wire [3:0] wire76x; wire wire77x; wire [3:0] wire78x; wire wire79x; wire [3:0] wire80x; wire wire81x; wire [3:0] wire82x; wire wire83x; wire [3:0] wire84x; wire wire85x; wire [3:0] wire86x; wire wire87x; wire [3:0] wire88x; wire wire89x; wire [3:0] wire90x; wire wire91x; wire [3:0] wire92x; wire wire93x; wire [3:0] wire94x; wire wire95x; wire [3:0] wire96x; wire wire97x; wire [3:0] wire98x; wire wire99x; wire [3:0] wire100x; wire wire101x; wire [3:0] wire102x; wire wire103x; wire [3:0] wire104x; wire wire105x; wire [3:0] wire106x; wire wire107x; wire [3:0] wire108x; wire wire109x; wire [3:0] wire110x; wire wire111x; wire [3:0] wire112x; wire wire113x; wire [3:0] wire114x; wire wire115x; wire [3:0] wire116x; wire wire117x; wire [3:0] wire118x; wire wire119x; wire [3:0] wire120x; wire wire121x; wire [3:0] wire122x; wire wire123x; wire [3:0] wire124x; wire wire125x; wire [3:0] wire126x; wire wire127x; assign wire0x = {(a_0x[63] & a_4x[3]), (a_1x[63] & a_4x[2]), (a_2x[63] & a_4x[1]), (a_3x[63] & a_4x[0])}; assign wire2x = {(a_0x[62] & a_4x[3]), (a_1x[62] & a_4x[2]), (a_2x[62] & a_4x[1]), (a_3x[62] & a_4x[0])}; assign wire4x = {(a_0x[61] & a_4x[3]), (a_1x[61] & a_4x[2]), (a_2x[61] & a_4x[1]), (a_3x[61] & a_4x[0])}; assign wire6x = {(a_0x[60] & a_4x[3]), (a_1x[60] & a_4x[2]), (a_2x[60] & a_4x[1]), (a_3x[60] & a_4x[0])}; assign wire8x = {(a_0x[59] & a_4x[3]), (a_1x[59] & a_4x[2]), (a_2x[59] & a_4x[1]), (a_3x[59] & a_4x[0])}; assign wire10x = {(a_0x[58] & a_4x[3]), (a_1x[58] & a_4x[2]), (a_2x[58] & a_4x[1]), (a_3x[58] & a_4x[0])}; assign wire12x = {(a_0x[57] & a_4x[3]), (a_1x[57] & a_4x[2]), (a_2x[57] & a_4x[1]), (a_3x[57] & a_4x[0])}; assign wire14x = {(a_0x[56] & a_4x[3]), (a_1x[56] & a_4x[2]), (a_2x[56] & a_4x[1]), (a_3x[56] & a_4x[0])}; assign wire16x = {(a_0x[55] & a_4x[3]), (a_1x[55] & a_4x[2]), (a_2x[55] & a_4x[1]), (a_3x[55] & a_4x[0])}; assign wire18x = {(a_0x[54] & a_4x[3]), (a_1x[54] & a_4x[2]), (a_2x[54] & a_4x[1]), (a_3x[54] & a_4x[0])}; assign wire20x = {(a_0x[53] & a_4x[3]), (a_1x[53] & a_4x[2]), (a_2x[53] & a_4x[1]), (a_3x[53] & a_4x[0])}; assign wire22x = {(a_0x[52] & a_4x[3]), (a_1x[52] & a_4x[2]), (a_2x[52] & a_4x[1]), (a_3x[52] & a_4x[0])}; assign wire24x = {(a_0x[51] & a_4x[3]), (a_1x[51] & a_4x[2]), (a_2x[51] & a_4x[1]), (a_3x[51] & a_4x[0])}; assign wire26x = {(a_0x[50] & a_4x[3]), (a_1x[50] & a_4x[2]), (a_2x[50] & a_4x[1]), (a_3x[50] & a_4x[0])}; assign wire28x = {(a_0x[49] & a_4x[3]), (a_1x[49] & a_4x[2]), (a_2x[49] & a_4x[1]), (a_3x[49] & a_4x[0])}; assign wire30x = {(a_0x[48] & a_4x[3]), (a_1x[48] & a_4x[2]), (a_2x[48] & a_4x[1]), (a_3x[48] & a_4x[0])}; assign wire32x = {(a_0x[47] & a_4x[3]), (a_1x[47] & a_4x[2]), (a_2x[47] & a_4x[1]), (a_3x[47] & a_4x[0])}; assign wire34x = {(a_0x[46] & a_4x[3]), (a_1x[46] & a_4x[2]), (a_2x[46] & a_4x[1]), (a_3x[46] & a_4x[0])}; assign wire36x = {(a_0x[45] & a_4x[3]), (a_1x[45] & a_4x[2]), (a_2x[45] & a_4x[1]), (a_3x[45] & a_4x[0])}; assign wire38x = {(a_0x[44] & a_4x[3]), (a_1x[44] & a_4x[2]), (a_2x[44] & a_4x[1]), (a_3x[44] & a_4x[0])}; assign wire40x = {(a_0x[43] & a_4x[3]), (a_1x[43] & a_4x[2]), (a_2x[43] & a_4x[1]), (a_3x[43] & a_4x[0])}; assign wire42x = {(a_0x[42] & a_4x[3]), (a_1x[42] & a_4x[2]), (a_2x[42] & a_4x[1]), (a_3x[42] & a_4x[0])}; assign wire44x = {(a_0x[41] & a_4x[3]), (a_1x[41] & a_4x[2]), (a_2x[41] & a_4x[1]), (a_3x[41] & a_4x[0])}; assign wire46x = {(a_0x[40] & a_4x[3]), (a_1x[40] & a_4x[2]), (a_2x[40] & a_4x[1]), (a_3x[40] & a_4x[0])}; assign wire48x = {(a_0x[39] & a_4x[3]), (a_1x[39] & a_4x[2]), (a_2x[39] & a_4x[1]), (a_3x[39] & a_4x[0])}; assign wire50x = {(a_0x[38] & a_4x[3]), (a_1x[38] & a_4x[2]), (a_2x[38] & a_4x[1]), (a_3x[38] & a_4x[0])}; assign wire52x = {(a_0x[37] & a_4x[3]), (a_1x[37] & a_4x[2]), (a_2x[37] & a_4x[1]), (a_3x[37] & a_4x[0])}; assign wire54x = {(a_0x[36] & a_4x[3]), (a_1x[36] & a_4x[2]), (a_2x[36] & a_4x[1]), (a_3x[36] & a_4x[0])}; assign wire56x = {(a_0x[35] & a_4x[3]), (a_1x[35] & a_4x[2]), (a_2x[35] & a_4x[1]), (a_3x[35] & a_4x[0])}; assign wire58x = {(a_0x[34] & a_4x[3]), (a_1x[34] & a_4x[2]), (a_2x[34] & a_4x[1]), (a_3x[34] & a_4x[0])}; assign wire60x = {(a_0x[33] & a_4x[3]), (a_1x[33] & a_4x[2]), (a_2x[33] & a_4x[1]), (a_3x[33] & a_4x[0])}; assign wire62x = {(a_0x[32] & a_4x[3]), (a_1x[32] & a_4x[2]), (a_2x[32] & a_4x[1]), (a_3x[32] & a_4x[0])}; assign wire64x = {(a_0x[31] & a_4x[3]), (a_1x[31] & a_4x[2]), (a_2x[31] & a_4x[1]), (a_3x[31] & a_4x[0])}; assign wire66x = {(a_0x[30] & a_4x[3]), (a_1x[30] & a_4x[2]), (a_2x[30] & a_4x[1]), (a_3x[30] & a_4x[0])}; assign wire68x = {(a_0x[29] & a_4x[3]), (a_1x[29] & a_4x[2]), (a_2x[29] & a_4x[1]), (a_3x[29] & a_4x[0])}; assign wire70x = {(a_0x[28] & a_4x[3]), (a_1x[28] & a_4x[2]), (a_2x[28] & a_4x[1]), (a_3x[28] & a_4x[0])}; assign wire72x = {(a_0x[27] & a_4x[3]), (a_1x[27] & a_4x[2]), (a_2x[27] & a_4x[1]), (a_3x[27] & a_4x[0])}; assign wire74x = {(a_0x[26] & a_4x[3]), (a_1x[26] & a_4x[2]), (a_2x[26] & a_4x[1]), (a_3x[26] & a_4x[0])}; assign wire76x = {(a_0x[25] & a_4x[3]), (a_1x[25] & a_4x[2]), (a_2x[25] & a_4x[1]), (a_3x[25] & a_4x[0])}; assign wire78x = {(a_0x[24] & a_4x[3]), (a_1x[24] & a_4x[2]), (a_2x[24] & a_4x[1]), (a_3x[24] & a_4x[0])}; assign wire80x = {(a_0x[23] & a_4x[3]), (a_1x[23] & a_4x[2]), (a_2x[23] & a_4x[1]), (a_3x[23] & a_4x[0])}; assign wire82x = {(a_0x[22] & a_4x[3]), (a_1x[22] & a_4x[2]), (a_2x[22] & a_4x[1]), (a_3x[22] & a_4x[0])}; assign wire84x = {(a_0x[21] & a_4x[3]), (a_1x[21] & a_4x[2]), (a_2x[21] & a_4x[1]), (a_3x[21] & a_4x[0])}; assign wire86x = {(a_0x[20] & a_4x[3]), (a_1x[20] & a_4x[2]), (a_2x[20] & a_4x[1]), (a_3x[20] & a_4x[0])}; assign wire88x = {(a_0x[19] & a_4x[3]), (a_1x[19] & a_4x[2]), (a_2x[19] & a_4x[1]), (a_3x[19] & a_4x[0])}; assign wire90x = {(a_0x[18] & a_4x[3]), (a_1x[18] & a_4x[2]), (a_2x[18] & a_4x[1]), (a_3x[18] & a_4x[0])}; assign wire92x = {(a_0x[17] & a_4x[3]), (a_1x[17] & a_4x[2]), (a_2x[17] & a_4x[1]), (a_3x[17] & a_4x[0])}; assign wire94x = {(a_0x[16] & a_4x[3]), (a_1x[16] & a_4x[2]), (a_2x[16] & a_4x[1]), (a_3x[16] & a_4x[0])}; assign wire96x = {(a_0x[15] & a_4x[3]), (a_1x[15] & a_4x[2]), (a_2x[15] & a_4x[1]), (a_3x[15] & a_4x[0])}; assign wire98x = {(a_0x[14] & a_4x[3]), (a_1x[14] & a_4x[2]), (a_2x[14] & a_4x[1]), (a_3x[14] & a_4x[0])}; assign wire100x = {(a_0x[13] & a_4x[3]), (a_1x[13] & a_4x[2]), (a_2x[13] & a_4x[1]), (a_3x[13] & a_4x[0])}; assign wire102x = {(a_0x[12] & a_4x[3]), (a_1x[12] & a_4x[2]), (a_2x[12] & a_4x[1]), (a_3x[12] & a_4x[0])}; assign wire104x = {(a_0x[11] & a_4x[3]), (a_1x[11] & a_4x[2]), (a_2x[11] & a_4x[1]), (a_3x[11] & a_4x[0])}; assign wire106x = {(a_0x[10] & a_4x[3]), (a_1x[10] & a_4x[2]), (a_2x[10] & a_4x[1]), (a_3x[10] & a_4x[0])}; assign wire108x = {(a_0x[9] & a_4x[3]), (a_1x[9] & a_4x[2]), (a_2x[9] & a_4x[1]), (a_3x[9] & a_4x[0])}; assign wire110x = {(a_0x[8] & a_4x[3]), (a_1x[8] & a_4x[2]), (a_2x[8] & a_4x[1]), (a_3x[8] & a_4x[0])}; assign wire112x = {(a_0x[7] & a_4x[3]), (a_1x[7] & a_4x[2]), (a_2x[7] & a_4x[1]), (a_3x[7] & a_4x[0])}; assign wire114x = {(a_0x[6] & a_4x[3]), (a_1x[6] & a_4x[2]), (a_2x[6] & a_4x[1]), (a_3x[6] & a_4x[0])}; assign wire116x = {(a_0x[5] & a_4x[3]), (a_1x[5] & a_4x[2]), (a_2x[5] & a_4x[1]), (a_3x[5] & a_4x[0])}; assign wire118x = {(a_0x[4] & a_4x[3]), (a_1x[4] & a_4x[2]), (a_2x[4] & a_4x[1]), (a_3x[4] & a_4x[0])}; assign wire120x = {(a_0x[3] & a_4x[3]), (a_1x[3] & a_4x[2]), (a_2x[3] & a_4x[1]), (a_3x[3] & a_4x[0])}; assign wire122x = {(a_0x[2] & a_4x[3]), (a_1x[2] & a_4x[2]), (a_2x[2] & a_4x[1]), (a_3x[2] & a_4x[0])}; assign wire124x = {(a_0x[1] & a_4x[3]), (a_1x[1] & a_4x[2]), (a_2x[1] & a_4x[1]), (a_3x[1] & a_4x[0])}; assign wire126x = {(a_0x[0] & a_4x[3]), (a_1x[0] & a_4x[2]), (a_2x[0] & a_4x[1]), (a_3x[0] & a_4x[0])}; assign out_5x = {wire1x, wire3x, wire5x, wire7x, wire9x, wire11x, wire13x, wire15x, wire17x, wire19x, wire21x, wire23x, wire25x, wire27x, wire29x, wire31x, wire33x, wire35x, wire37x, wire39x, wire41x, wire43x, wire45x, wire47x, wire49x, wire51x, wire53x, wire55x, wire57x, wire59x, wire61x, wire63x, wire65x, wire67x, wire69x, wire71x, wire73x, wire75x, wire77x, wire79x, wire81x, wire83x, wire85x, wire87x, wire89x, wire91x, wire93x, wire95x, wire97x, wire99x, wire101x, wire103x, wire105x, wire107x, wire109x, wire111x, wire113x, wire115x, wire117x, wire119x, wire121x, wire123x, wire125x, wire127x}; or_implx_4 m0 (clk,wire0x, wire1x); or_implx_4 m1 (clk,wire2x, wire3x); or_implx_4 m2 (clk,wire4x, wire5x); or_implx_4 m3 (clk,wire6x, wire7x); or_implx_4 m4 (clk,wire8x, wire9x); or_implx_4 m5 (clk,wire10x, wire11x); or_implx_4 m6 (clk,wire12x, wire13x); or_implx_4 m7 (clk,wire14x, wire15x); or_implx_4 m8 (clk,wire16x, wire17x); or_implx_4 m9 (clk,wire18x, wire19x); or_implx_4 m10 (clk,wire20x, wire21x); or_implx_4 m11 (clk,wire22x, wire23x); or_implx_4 m12 (clk,wire24x, wire25x); or_implx_4 m13 (clk,wire26x, wire27x); or_implx_4 m14 (clk,wire28x, wire29x); or_implx_4 m15 (clk,wire30x, wire31x); or_implx_4 m16 (clk,wire32x, wire33x); or_implx_4 m17 (clk,wire34x, wire35x); or_implx_4 m18 (clk,wire36x, wire37x); or_implx_4 m19 (clk,wire38x, wire39x); or_implx_4 m20 (clk,wire40x, wire41x); or_implx_4 m21 (clk,wire42x, wire43x); or_implx_4 m22 (clk,wire44x, wire45x); or_implx_4 m23 (clk,wire46x, wire47x); or_implx_4 m24 (clk,wire48x, wire49x); or_implx_4 m25 (clk,wire50x, wire51x); or_implx_4 m26 (clk,wire52x, wire53x); or_implx_4 m27 (clk,wire54x, wire55x); or_implx_4 m28 (clk,wire56x, wire57x); or_implx_4 m29 (clk,wire58x, wire59x); or_implx_4 m30 (clk,wire60x, wire61x); or_implx_4 m31 (clk,wire62x, wire63x); or_implx_4 m32 (clk,wire64x, wire65x); or_implx_4 m33 (clk,wire66x, wire67x); or_implx_4 m34 (clk,wire68x, wire69x); or_implx_4 m35 (clk,wire70x, wire71x); or_implx_4 m36 (clk,wire72x, wire73x); or_implx_4 m37 (clk,wire74x, wire75x); or_implx_4 m38 (clk,wire76x, wire77x); or_implx_4 m39 (clk,wire78x, wire79x); or_implx_4 m40 (clk,wire80x, wire81x); or_implx_4 m41 (clk,wire82x, wire83x); or_implx_4 m42 (clk,wire84x, wire85x); or_implx_4 m43 (clk,wire86x, wire87x); or_implx_4 m44 (clk,wire88x, wire89x); or_implx_4 m45 (clk,wire90x, wire91x); or_implx_4 m46 (clk,wire92x, wire93x); or_implx_4 m47 (clk,wire94x, wire95x); or_implx_4 m48 (clk,wire96x, wire97x); or_implx_4 m49 (clk,wire98x, wire99x); or_implx_4 m50 (clk,wire100x, wire101x); or_implx_4 m51 (clk,wire102x, wire103x); or_implx_4 m52 (clk,wire104x, wire105x); or_implx_4 m53 (clk,wire106x, wire107x); or_implx_4 m54 (clk,wire108x, wire109x); or_implx_4 m55 (clk,wire110x, wire111x); or_implx_4 m56 (clk,wire112x, wire113x); or_implx_4 m57 (clk,wire114x, wire115x); or_implx_4 m58 (clk,wire116x, wire117x); or_implx_4 m59 (clk,wire118x, wire119x); or_implx_4 m60 (clk,wire120x, wire121x); or_implx_4 m61 (clk,wire122x, wire123x); or_implx_4 m62 (clk,wire124x, wire125x); or_implx_4 m63 (clk,wire126x, wire127x); endmodule module sa_cache_dout_implx_4_2_29_20_7_2_8(clk, a_0x, a_1x, a_2x, a_3x, a_4x, out_5x ); input clk; input [3:0] a_0x; // external name: hit_vector input [63:0] a_1x; // external name: way_dout(3) input [63:0] a_2x; // external name: way_dout(2) input [63:0] a_3x; // external name: way_dout(1) input [63:0] a_4x; // external name: way_dout(0) output [63:0] out_5x; // external name: out` wire [63:0] wire0x; wire [63:0] wire1x; wire [63:0] wire2x; wire [63:0] wire3x; wire [3:0] wire4x; wire [63:0] wire5x; assign wire0x = a_1x; assign wire1x = a_2x; assign wire2x = a_3x; assign wire3x = a_4x; assign wire4x = a_0x; assign out_5x = wire5x; mux_tree_unary_select_implx_64_4 m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x); endmodule module mux_implx_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input a_0x; // external name: x0 input a_1x; // external name: x1 input a_2x; // external name: s output out_3x; // external name: out` assign out_3x = (a_2x) ? (a_1x) : (a_0x); endmodule module mux_tree_recx_1_1(clk, a_0x, a_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a input a_1x; // external name: sel output out_2x; // external name: out` wire wire0x; wire wire1x; wire wire2x; wire wire3x; assign wire0x = a_0x[0]; assign wire1x = a_0x[1]; assign wire2x = a_1x; assign out_2x = wire3x; mux_implx_1 m0 (clk,wire0x, wire1x, wire2x, wire3x); endmodule module mux_tree_recx_1_2(clk, a_0x, a_1x, out_2x ); input clk; input [3:0] a_0x; // external name: a input [1:0] a_1x; // external name: sel output out_2x; // external name: out` wire [1:0] wire0x; // external name: lo_in wire [1:0] wire1x; // external name: hi_in wire wire2x; // external name: sel_in wire [1:0] wire3x; wire wire4x; wire wire5x; wire wire6x; // external name: mux_lo wire [1:0] wire7x; wire wire8x; wire wire9x; wire wire10x; // external name: mux_hi wire wire11x; wire wire12x; wire wire13x; wire wire14x; assign wire0x = {a_0x[1], a_0x[0]}; assign wire1x = {a_0x[3], a_0x[2]}; assign wire2x = a_1x[0:0]; assign wire3x = wire0x; assign wire4x = wire2x; assign wire6x = wire5x; assign wire7x = wire1x; assign wire8x = wire2x; assign wire10x = wire9x; assign wire11x = wire6x; assign wire12x = wire10x; assign wire13x = a_1x[1]; assign out_2x = wire14x; mux_tree_recx_1_1 m0 (clk,wire3x, wire4x, wire5x); mux_tree_recx_1_1 m1 (clk,wire7x, wire8x, wire9x); mux_implx_1 m2 (clk,wire11x, wire12x, wire13x, wire14x); endmodule module mux_tree_implx_1_4_2(clk, a_0x, a_1x, out_2x ); input clk; input [3:0] a_0x; // external name: a input [1:0] a_1x; // external name: sel output out_2x; // external name: out` wire [3:0] wire0x; // external name: a_in wire [3:0] wire1x; wire [1:0] wire2x; wire wire3x; assign wire0x = {a_0x[3], a_0x[2], a_0x[1], a_0x[0]}; assign wire1x = wire0x; assign wire2x = a_1x; assign out_2x = wire3x; mux_tree_recx_1_2 m0 (clk,wire1x, wire2x, wire3x); endmodule module mux_implx_29(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [28:0] a_0x; // external name: x0 input [28:0] a_1x; // external name: x1 input a_2x; // external name: s output [28:0] out_3x; // external name: out` assign out_3x = (a_2x) ? (a_1x) : (a_0x); endmodule module mux_tree_recx_29_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [28:0] a_0x; // external name: a(1) input [28:0] a_1x; // external name: a(0) input a_2x; // external name: sel output [28:0] out_3x; // external name: out` wire [28:0] wire0x; wire [28:0] wire1x; wire wire2x; wire [28:0] wire3x; assign wire0x = a_1x; assign wire1x = a_0x; assign wire2x = a_2x; assign out_3x = wire3x; mux_implx_29 m0 (clk,wire0x, wire1x, wire2x, wire3x); endmodule module mux_tree_recx_29_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, out_5x ); input clk; input [28:0] a_0x; // external name: a(3) input [28:0] a_1x; // external name: a(2) input [28:0] a_2x; // external name: a(1) input [28:0] a_3x; // external name: a(0) input [1:0] a_4x; // external name: sel output [28:0] out_5x; // external name: out` wire [28:0] wire0x; // external name: lo_in(1) wire [28:0] wire1x; // external name: lo_in(0) wire [28:0] wire2x; // external name: hi_in(1) wire [28:0] wire3x; // external name: hi_in(0) wire wire4x; // external name: sel_in wire [28:0] wire5x; wire [28:0] wire6x; wire wire7x; wire [28:0] wire8x; wire [28:0] wire9x; // external name: mux_lo wire [28:0] wire10x; wire [28:0] wire11x; wire wire12x; wire [28:0] wire13x; wire [28:0] wire14x; // external name: mux_hi wire [28:0] wire15x; wire [28:0] wire16x; wire wire17x; wire [28:0] wire18x; assign wire0x = a_2x; assign wire1x = a_3x; assign wire2x = a_0x; assign wire3x = a_1x; assign wire4x = a_4x[0:0]; assign wire5x = wire0x; assign wire6x = wire1x; assign wire7x = wire4x; assign wire9x = wire8x; assign wire10x = wire2x; assign wire11x = wire3x; assign wire12x = wire4x; assign wire14x = wire13x; assign wire15x = wire9x; assign wire16x = wire14x; assign wire17x = a_4x[1]; assign out_5x = wire18x; mux_tree_recx_29_1 m0 (clk,wire5x, wire6x, wire7x, wire8x); mux_tree_recx_29_1 m1 (clk,wire10x, wire11x, wire12x, wire13x); mux_implx_29 m2 (clk,wire15x, wire16x, wire17x, wire18x); endmodule module mux_tree_implx_29_4_2(clk, a_0x, a_1x, a_2x, a_3x, a_4x, out_5x ); input clk; input [28:0] a_0x; // external name: a(3) input [28:0] a_1x; // external name: a(2) input [28:0] a_2x; // external name: a(1) input [28:0] a_3x; // external name: a(0) input [1:0] a_4x; // external name: sel output [28:0] out_5x; // external name: out` wire [28:0] wire0x; // external name: a_in(3) wire [28:0] wire1x; // external name: a_in(2) wire [28:0] wire2x; // external name: a_in(1) wire [28:0] wire3x; // external name: a_in(0) wire [28:0] wire4x; wire [28:0] wire5x; wire [28:0] wire6x; wire [28:0] wire7x; wire [1:0] wire8x; wire [28:0] wire9x; assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire4x = wire0x; assign wire5x = wire1x; assign wire6x = wire2x; assign wire7x = wire3x; assign wire8x = a_4x; assign out_5x = wire9x; mux_tree_recx_29_2 m0 (clk,wire4x, wire5x, wire6x, wire7x, wire8x, wire9x); endmodule module sa_cache_nc_next_configx_4_2_29_20_7_2_8(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x, out_23x, out_24x, out_25x, out_26x ); input clk; input [1:0] a_0x; // external name: cache`hist_reg(3) input [1:0] a_1x; // external name: cache`hist_reg(2) input [1:0] a_2x; // external name: cache`hist_reg(1) input [1:0] a_3x; // external name: cache`hist_reg(0) input [3:0] a_4x; // external name: cache`way_reg input [6:0] a_5x; // external name: cache`adr_reg input [28:0] a_6x; // external name: input`address input [63:0] a_7x; // external name: input`di input a_8x; // external name: input`valid input a_9x; // external name: input`vw input a_10x; // external name: input`dirty input a_11x; // external name: input`dw input a_12x; // external name: input`clear input a_13x; // external name: input`tw input [7:0] a_14x; // external name: input`cdwb input a_15x; // external name: input`linv input a_16x; // external name: input`cache_rd output [1:0] out_17x; // external name: out``config`hist_reg(3) output [1:0] out_18x; // external name: out``config`hist_reg(2) output [1:0] out_19x; // external name: out``config`hist_reg(1) output [1:0] out_20x; // external name: out``config`hist_reg(0) output [3:0] out_21x; // external name: out``config`way_reg output [6:0] out_22x; // external name: out``config`adr_reg output out_23x; // external name: out``output`hit output out_24x; // external name: out``output`dirty output [28:0] out_25x; // external name: out``output`ev_address output [63:0] out_26x; // external name: out``output`dout wire [28:0] wire0x; wire [6:0] wire1x; wire [6:0] wire2x; // external name: adr_line wire [6:0] wire3x; wire [6:0] wire4x; wire [1:0] wire5x; wire [1:0] wire6x; wire [1:0] wire7x; wire [1:0] wire8x; wire [7:0] wire9x; wire [7:0] wire10x; wire wire11x; wire [7:0] wire12x; wire [7:0] wire13x; // external name: next_history`dout wire [3:0] wire14x; wire [28:0] wire15x; wire [63:0] wire16x; wire wire17x; wire wire18x; wire wire19x; wire wire20x; wire wire21x; wire wire22x; wire [7:0] wire23x; wire wire24x; wire wire25x; wire [28:0] wire26x; wire [63:0] wire27x; wire wire28x; wire wire29x; wire wire30x; wire wire31x; wire wire32x; wire wire33x; wire [7:0] wire34x; wire wire35x; wire wire36x; wire [28:0] wire37x; wire [63:0] wire38x; wire wire39x; wire wire40x; wire wire41x; wire wire42x; wire wire43x; wire wire44x; wire [7:0] wire45x; wire wire46x; wire wire47x; wire wire48x; wire wire49x; wire [28:0] wire50x; wire [63:0] wire51x; wire [3:0] wire52x; wire [28:0] wire53x; wire [63:0] wire54x; wire wire55x; wire wire56x; wire wire57x; wire wire58x; wire wire59x; wire wire60x; wire [7:0] wire61x; wire wire62x; wire wire63x; wire [28:0] wire64x; wire [63:0] wire65x; wire wire66x; wire wire67x; wire wire68x; wire wire69x; wire wire70x; wire wire71x; wire [7:0] wire72x; wire wire73x; wire wire74x; wire [28:0] wire75x; wire [63:0] wire76x; wire wire77x; wire wire78x; wire wire79x; wire wire80x; wire wire81x; wire wire82x; wire [7:0] wire83x; wire wire84x; wire wire85x; wire wire86x; wire wire87x; wire [28:0] wire88x; wire [63:0] wire89x; wire [3:0] wire90x; wire [28:0] wire91x; wire [63:0] wire92x; wire wire93x; wire wire94x; wire wire95x; wire wire96x; wire wire97x; wire wire98x; wire [7:0] wire99x; wire wire100x; wire wire101x; wire [28:0] wire102x; wire [63:0] wire103x; wire wire104x; wire wire105x; wire wire106x; wire wire107x; wire wire108x; wire wire109x; wire [7:0] wire110x; wire wire111x; wire wire112x; wire [28:0] wire113x; wire [63:0] wire114x; wire wire115x; wire wire116x; wire wire117x; wire wire118x; wire wire119x; wire wire120x; wire [7:0] wire121x; wire wire122x; wire wire123x; wire wire124x; wire wire125x; wire [28:0] wire126x; wire [63:0] wire127x; wire [3:0] wire128x; wire [28:0] wire129x; wire [63:0] wire130x; wire wire131x; wire wire132x; wire wire133x; wire wire134x; wire wire135x; wire wire136x; wire [7:0] wire137x; wire wire138x; wire wire139x; wire [28:0] wire140x; wire [63:0] wire141x; wire wire142x; wire wire143x; wire wire144x; wire wire145x; wire wire146x; wire wire147x; wire [7:0] wire148x; wire wire149x; wire wire150x; wire [28:0] wire151x; wire [63:0] wire152x; wire wire153x; wire wire154x; wire wire155x; wire wire156x; wire wire157x; wire wire158x; wire [7:0] wire159x; wire wire160x; wire wire161x; wire wire162x; wire wire163x; wire [28:0] wire164x; wire [63:0] wire165x; wire wire166x; // external name: dm_next_conf(3)`output`hit wire wire167x; // external name: dm_next_conf(3)`output`dirty wire [28:0] wire168x; // external name: dm_next_conf(3)`output`ev_address wire [63:0] wire169x; // external name: dm_next_conf(3)`output`dout wire wire170x; // external name: dm_next_conf(2)`output`hit wire wire171x; // external name: dm_next_conf(2)`output`dirty wire [28:0] wire172x; // external name: dm_next_conf(2)`output`ev_address wire [63:0] wire173x; // external name: dm_next_conf(2)`output`dout wire wire174x; // external name: dm_next_conf(1)`output`hit wire wire175x; // external name: dm_next_conf(1)`output`dirty wire [28:0] wire176x; // external name: dm_next_conf(1)`output`ev_address wire [63:0] wire177x; // external name: dm_next_conf(1)`output`dout wire wire178x; // external name: dm_next_conf(0)`output`hit wire wire179x; // external name: dm_next_conf(0)`output`dirty wire [28:0] wire180x; // external name: dm_next_conf(0)`output`ev_address wire [63:0] wire181x; // external name: dm_next_conf(0)`output`dout wire [63:0] wire182x; // external name: way_dout(3) wire [63:0] wire183x; // external name: way_dout(2) wire [63:0] wire184x; // external name: way_dout(1) wire [63:0] wire185x; // external name: way_dout(0) wire [3:0] wire186x; // external name: dirty_out wire [28:0] wire187x; // external name: ev_adr_out(3) wire [28:0] wire188x; // external name: ev_adr_out(2) wire [28:0] wire189x; // external name: ev_adr_out(1) wire [28:0] wire190x; // external name: ev_adr_out(0) wire [3:0] wire191x; // external name: hit_vector wire [3:0] wire192x; wire wire193x; wire [1:0] wire194x; wire wire195x; // external name: hit_encf`or_tree wire [1:0] wire196x; // external name: hit_encf`encf_out wire wire197x; // external name: hit_signal wire [1:0] wire198x; wire [1:0] wire199x; wire [1:0] wire200x; wire [1:0] wire201x; wire [7:0] wire202x; wire [1:0] wire203x; wire [1:0] wire204x; wire [1:0] wire205x; wire [1:0] wire206x; wire [1:0] wire207x; wire [1:0] wire208x; wire [1:0] wire209x; wire [1:0] wire210x; wire [6:0] wire211x; wire [6:0] wire212x; wire wire213x; wire wire214x; wire [1:0] wire215x; wire [1:0] wire216x; wire [1:0] wire217x; wire [1:0] wire218x; wire [1:0] wire219x; // external name: forw_mux(3) wire [1:0] wire220x; // external name: forw_mux(2) wire [1:0] wire221x; // external name: forw_mux(1) wire [1:0] wire222x; // external name: forw_mux(0) wire [1:0] wire223x; wire [1:0] wire224x; wire [1:0] wire225x; wire [1:0] wire226x; wire [1:0] wire227x; wire [1:0] wire228x; wire [1:0] wire229x; wire [1:0] wire230x; wire [3:0] wire231x; wire [1:0] wire232x; wire wire233x; wire wire234x; wire [1:0] wire235x; wire [1:0] wire236x; wire [1:0] wire237x; wire [1:0] wire238x; wire [1:0] wire239x; wire [1:0] wire240x; wire [1:0] wire241x; wire [1:0] wire242x; wire [3:0] wire243x; wire wire244x; wire [3:0] wire245x; wire [3:0] wire246x; wire [63:0] wire247x; wire [63:0] wire248x; wire [63:0] wire249x; wire [63:0] wire250x; wire [63:0] wire251x; wire [3:0] wire252x; wire [1:0] wire253x; wire wire254x; wire [28:0] wire255x; wire [28:0] wire256x; wire [28:0] wire257x; wire [28:0] wire258x; wire [1:0] wire259x; wire [28:0] wire260x; assign wire0x = a_6x; assign wire2x = wire1x; assign wire3x = wire2x; assign wire4x = a_5x; assign wire5x = a_0x; assign wire6x = a_1x; assign wire7x = a_2x; assign wire8x = a_3x; assign wire10x = wire9x; assign wire11x = a_16x; assign wire13x = wire12x; assign wire14x = a_4x; assign wire15x = a_6x; assign wire16x = a_7x; assign wire17x = a_8x; assign wire18x = a_9x; assign wire19x = a_10x; assign wire20x = a_11x; assign wire21x = a_12x; assign wire22x = a_13x; assign wire23x = a_14x; assign wire24x = a_15x; assign wire25x = a_16x; assign wire37x = wire26x; assign wire38x = wire27x; assign wire39x = wire28x; assign wire40x = wire29x; assign wire41x = wire30x; assign wire42x = wire31x; assign wire43x = wire32x; assign wire44x = wire33x; assign wire45x = wire34x; assign wire46x = wire35x; assign wire47x = wire36x; assign wire52x = a_4x; assign wire53x = a_6x; assign wire54x = a_7x; assign wire55x = a_8x; assign wire56x = a_9x; assign wire57x = a_10x; assign wire58x = a_11x; assign wire59x = a_12x; assign wire60x = a_13x; assign wire61x = a_14x; assign wire62x = a_15x; assign wire63x = a_16x; assign wire75x = wire64x; assign wire76x = wire65x; assign wire77x = wire66x; assign wire78x = wire67x; assign wire79x = wire68x; assign wire80x = wire69x; assign wire81x = wire70x; assign wire82x = wire71x; assign wire83x = wire72x; assign wire84x = wire73x; assign wire85x = wire74x; assign wire90x = a_4x; assign wire91x = a_6x; assign wire92x = a_7x; assign wire93x = a_8x; assign wire94x = a_9x; assign wire95x = a_10x; assign wire96x = a_11x; assign wire97x = a_12x; assign wire98x = a_13x; assign wire99x = a_14x; assign wire100x = a_15x; assign wire101x = a_16x; assign wire113x = wire102x; assign wire114x = wire103x; assign wire115x = wire104x; assign wire116x = wire105x; assign wire117x = wire106x; assign wire118x = wire107x; assign wire119x = wire108x; assign wire120x = wire109x; assign wire121x = wire110x; assign wire122x = wire111x; assign wire123x = wire112x; assign wire128x = a_4x; assign wire129x = a_6x; assign wire130x = a_7x; assign wire131x = a_8x; assign wire132x = a_9x; assign wire133x = a_10x; assign wire134x = a_11x; assign wire135x = a_12x; assign wire136x = a_13x; assign wire137x = a_14x; assign wire138x = a_15x; assign wire139x = a_16x; assign wire151x = wire140x; assign wire152x = wire141x; assign wire153x = wire142x; assign wire154x = wire143x; assign wire155x = wire144x; assign wire156x = wire145x; assign wire157x = wire146x; assign wire158x = wire147x; assign wire159x = wire148x; assign wire160x = wire149x; assign wire161x = wire150x; assign wire166x = wire48x; assign wire167x = wire49x; assign wire168x = wire50x; assign wire169x = wire51x; assign wire170x = wire86x; assign wire171x = wire87x; assign wire172x = wire88x; assign wire173x = wire89x; assign wire174x = wire124x; assign wire175x = wire125x; assign wire176x = wire126x; assign wire177x = wire127x; assign wire178x = wire162x; assign wire179x = wire163x; assign wire180x = wire164x; assign wire181x = wire165x; assign wire182x = wire169x; assign wire183x = wire173x; assign wire184x = wire177x; assign wire185x = wire181x; assign wire186x = {wire167x, wire171x, wire175x, wire179x}; assign wire187x = wire168x; assign wire188x = wire172x; assign wire189x = wire176x; assign wire190x = wire180x; assign wire191x = {wire166x, wire170x, wire174x, wire178x}; assign wire192x = wire191x; assign wire195x = wire193x; assign wire196x = wire194x; assign wire197x = wire195x; assign wire198x = a_0x; assign wire199x = a_1x; assign wire200x = a_2x; assign wire201x = a_3x; assign wire202x = wire13x; assign wire207x = wire203x; assign wire208x = wire204x; assign wire209x = wire205x; assign wire210x = wire206x; assign wire211x = wire2x; assign wire212x = a_5x; assign wire214x = wire213x; assign wire219x = wire215x; assign wire220x = wire216x; assign wire221x = wire217x; assign wire222x = wire218x; assign wire227x = wire219x; assign wire228x = wire220x; assign wire229x = wire221x; assign wire230x = wire222x; assign wire231x = wire191x; assign wire232x = wire196x; assign wire233x = wire197x; assign wire234x = a_15x; assign wire239x = wire219x; assign wire240x = wire220x; assign wire241x = wire221x; assign wire242x = wire222x; assign wire243x = wire191x; assign wire244x = wire197x; assign wire246x = wire191x; assign wire247x = wire182x; assign wire248x = wire183x; assign wire249x = wire184x; assign wire250x = wire185x; assign wire252x = wire186x; assign wire253x = wire219x; assign wire255x = wire187x; assign wire256x = wire188x; assign wire257x = wire189x; assign wire258x = wire190x; assign wire259x = wire219x; assign out_17x = ((a_12x | a_16x)) ? ((a_12x) ? (wire223x) : (wire235x)) : (a_0x); assign out_18x = ((a_12x | a_16x)) ? ((a_12x) ? (wire224x) : (wire236x)) : (a_1x); assign out_19x = ((a_12x | a_16x)) ? ((a_12x) ? (wire225x) : (wire237x)) : (a_2x); assign out_20x = ((a_12x | a_16x)) ? ((a_12x) ? (wire226x) : (wire238x)) : (a_3x); assign out_21x = (a_16x) ? (wire245x) : (a_4x); assign out_22x = (a_16x) ? (wire2x) : (a_5x); assign out_26x = wire251x; assign out_23x = wire197x; assign out_24x = wire254x; assign out_25x = wire260x; address_linex_29_20_7_2_8 m0 (clk,wire0x, wire1x); history_vector_to_wordx_4_2_29_20_7_2_8 m1 (clk,wire5x, wire6x, wire7x, wire8x, wire9x); ram2p_next_confx_7_8 m2 (clk,wire3x, wire4x, wire10x, wire11x, wire12x); dm_cache_inputx_4_2_29_20_7_2_8_3 m3 (clk,wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x, wire27x, wire28x, wire29x, wire30x, wire31x, wire32x, wire33x, wire34x, wire35x, wire36x); dm_cache_nc_next_configx_29_20_7_2_8 m4 (clk,wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x, wire49x, wire50x, wire51x); dm_cache_inputx_4_2_29_20_7_2_8_2 m5 (clk,wire52x, wire53x, wire54x, wire55x, wire56x, wire57x, wire58x, wire59x, wire60x, wire61x, wire62x, wire63x, wire64x, wire65x, wire66x, wire67x, wire68x, wire69x, wire70x, wire71x, wire72x, wire73x, wire74x); dm_cache_nc_next_configx_29_20_7_2_8 m6 (clk,wire75x, wire76x, wire77x, wire78x, wire79x, wire80x, wire81x, wire82x, wire83x, wire84x, wire85x, wire86x, wire87x, wire88x, wire89x); dm_cache_inputx_4_2_29_20_7_2_8_1 m7 (clk,wire90x, wire91x, wire92x, wire93x, wire94x, wire95x, wire96x, wire97x, wire98x, wire99x, wire100x, wire101x, wire102x, wire103x, wire104x, wire105x, wire106x, wire107x, wire108x, wire109x, wire110x, wire111x, wire112x); dm_cache_nc_next_configx_29_20_7_2_8 m8 (clk,wire113x, wire114x, wire115x, wire116x, wire117x, wire118x, wire119x, wire120x, wire121x, wire122x, wire123x, wire124x, wire125x, wire126x, wire127x); dm_cache_inputx_4_2_29_20_7_2_8_0 m9 (clk,wire128x, wire129x, wire130x, wire131x, wire132x, wire133x, wire134x, wire135x, wire136x, wire137x, wire138x, wire139x, wire140x, wire141x, wire142x, wire143x, wire144x, wire145x, wire146x, wire147x, wire148x, wire149x, wire150x); dm_cache_nc_next_configx_29_20_7_2_8 m10 (clk,wire151x, wire152x, wire153x, wire154x, wire155x, wire156x, wire157x, wire158x, wire159x, wire160x, wire161x, wire162x, wire163x, wire164x, wire165x); encoderf_implx_2_4 m11 (clk,wire192x, wire193x, wire194x); history_word_to_vectorx_4_2_29_20_7_2_8 m12 (clk,wire202x, wire203x, wire204x, wire205x, wire206x); equal_implx_7 m13 (clk,wire211x, wire212x, wire213x); sa_cache_forward_mux_implx_4_2_29_20_7_2_8 m14 (clk,wire198x, wire199x, wire200x, wire201x, wire207x, wire208x, wire209x, wire210x, wire214x, wire215x, wire216x, wire217x, wire218x); initial_history_vectorx_4_2 m15 (clk,wire223x, wire224x, wire225x, wire226x); next_history_vectorx_4_2 m16 (clk,wire227x, wire228x, wire229x, wire230x, wire231x, wire232x, wire233x, wire234x, wire235x, wire236x, wire237x, wire238x); next_way_regx_4_2 m17 (clk,wire239x, wire240x, wire241x, wire242x, wire243x, wire244x, wire245x); sa_cache_dout_implx_4_2_29_20_7_2_8 m18 (clk,wire246x, wire247x, wire248x, wire249x, wire250x, wire251x); mux_tree_implx_1_4_2 m19 (clk,wire252x, wire253x, wire254x); mux_tree_implx_29_4_2 m20 (clk,wire255x, wire256x, wire257x, wire258x, wire259x, wire260x); endmodule module generic_cache_nc_next_configx_1_4_2_29_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, out_34x, out_35x, out_36x, out_37x, out_38x, out_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x, out_46x, out_47x, out_48x, out_49x, out_50x, out_51x, out_52x, out_53x, out_54x, out_55x, out_56x, out_57x, out_58x, out_59x, out_60x ); input clk; input [1:0] a_0x; // external name: cache`sa_cache_config`hist_reg(3) input [1:0] a_1x; // external name: cache`sa_cache_config`hist_reg(2) input [1:0] a_2x; // external name: cache`sa_cache_config`hist_reg(1) input [1:0] a_3x; // external name: cache`sa_cache_config`hist_reg(0) input [3:0] a_4x; // external name: cache`sa_cache_config`way_reg input [6:0] a_5x; // external name: cache`sa_cache_config`adr_reg input a_6x; // external name: cache`fa_cache_config`dir(3)`valid input [26:0] a_7x; // external name: cache`fa_cache_config`dir(3)`tag input a_8x; // external name: cache`fa_cache_config`dir(3)`dirty input a_9x; // external name: cache`fa_cache_config`dir(2)`valid input [26:0] a_10x; // external name: cache`fa_cache_config`dir(2)`tag input a_11x; // external name: cache`fa_cache_config`dir(2)`dirty input a_12x; // external name: cache`fa_cache_config`dir(1)`valid input [26:0] a_13x; // external name: cache`fa_cache_config`dir(1)`tag input a_14x; // external name: cache`fa_cache_config`dir(1)`dirty input a_15x; // external name: cache`fa_cache_config`dir(0)`valid input [26:0] a_16x; // external name: cache`fa_cache_config`dir(0)`tag input a_17x; // external name: cache`fa_cache_config`dir(0)`dirty input [1:0] a_18x; // external name: cache`fa_cache_config`history(3) input [1:0] a_19x; // external name: cache`fa_cache_config`history(2) input [1:0] a_20x; // external name: cache`fa_cache_config`history(1) input [1:0] a_21x; // external name: cache`fa_cache_config`history(0) input [3:0] a_22x; // external name: cache`fa_cache_config`way_reg input [28:0] a_23x; // external name: input`address input [63:0] a_24x; // external name: input`di input a_25x; // external name: input`valid input a_26x; // external name: input`vw input a_27x; // external name: input`dirty input a_28x; // external name: input`dw input a_29x; // external name: input`clear input a_30x; // external name: input`tw input [7:0] a_31x; // external name: input`cdwb input a_32x; // external name: input`linv input a_33x; // external name: input`cache_rd output [1:0] out_34x; // external name: out``config`sa_cache_config`hist_reg(3) output [1:0] out_35x; // external name: out``config`sa_cache_config`hist_reg(2) output [1:0] out_36x; // external name: out``config`sa_cache_config`hist_reg(1) output [1:0] out_37x; // external name: out``config`sa_cache_config`hist_reg(0) output [3:0] out_38x; // external name: out``config`sa_cache_config`way_reg output [6:0] out_39x; // external name: out``config`sa_cache_config`adr_reg output out_40x; // external name: out``config`fa_cache_config`dir(3)`valid output [26:0] out_41x; // external name: out``config`fa_cache_config`dir(3)`tag output out_42x; // external name: out``config`fa_cache_config`dir(3)`dirty output out_43x; // external name: out``config`fa_cache_config`dir(2)`valid output [26:0] out_44x; // external name: out``config`fa_cache_config`dir(2)`tag output out_45x; // external name: out``config`fa_cache_config`dir(2)`dirty output out_46x; // external name: out``config`fa_cache_config`dir(1)`valid output [26:0] out_47x; // external name: out``config`fa_cache_config`dir(1)`tag output out_48x; // external name: out``config`fa_cache_config`dir(1)`dirty output out_49x; // external name: out``config`fa_cache_config`dir(0)`valid output [26:0] out_50x; // external name: out``config`fa_cache_config`dir(0)`tag output out_51x; // external name: out``config`fa_cache_config`dir(0)`dirty output [1:0] out_52x; // external name: out``config`fa_cache_config`history(3) output [1:0] out_53x; // external name: out``config`fa_cache_config`history(2) output [1:0] out_54x; // external name: out``config`fa_cache_config`history(1) output [1:0] out_55x; // external name: out``config`fa_cache_config`history(0) output [3:0] out_56x; // external name: out``config`fa_cache_config`way_reg output out_57x; // external name: out``output`hit output out_58x; // external name: out``output`dirty output [28:0] out_59x; // external name: out``output`ev_address output [63:0] out_60x; // external name: out``output`dout wire [1:0] wire0x; wire [1:0] wire1x; wire [1:0] wire2x; wire [1:0] wire3x; wire [3:0] wire4x; wire [6:0] wire5x; wire [28:0] wire6x; wire [63:0] wire7x; wire wire8x; wire wire9x; wire wire10x; wire wire11x; wire wire12x; wire wire13x; wire [7:0] wire14x; wire wire15x; wire wire16x; wire [1:0] wire17x; wire [1:0] wire18x; wire [1:0] wire19x; wire [1:0] wire20x; wire [3:0] wire21x; wire [6:0] wire22x; wire wire23x; wire wire24x; wire [28:0] wire25x; wire [63:0] wire26x; wire [1:0] wire27x; // external name: next`config`hist_reg(3) wire [1:0] wire28x; // external name: next`config`hist_reg(2) wire [1:0] wire29x; // external name: next`config`hist_reg(1) wire [1:0] wire30x; // external name: next`config`hist_reg(0) wire [3:0] wire31x; // external name: next`config`way_reg wire [6:0] wire32x; // external name: next`config`adr_reg wire wire33x; // external name: next`output`hit wire wire34x; // external name: next`output`dirty wire [28:0] wire35x; // external name: next`output`ev_address wire [63:0] wire36x; // external name: next`output`dout assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire4x = a_4x; assign wire5x = a_5x; assign wire6x = a_23x; assign wire7x = a_24x; assign wire8x = a_25x; assign wire9x = a_26x; assign wire10x = a_27x; assign wire11x = a_28x; assign wire12x = a_29x; assign wire13x = a_30x; assign wire14x = a_31x; assign wire15x = a_32x; assign wire16x = a_33x; assign wire27x = wire17x; assign wire28x = wire18x; assign wire29x = wire19x; assign wire30x = wire20x; assign wire31x = wire21x; assign wire32x = wire22x; assign wire33x = wire23x; assign wire34x = wire24x; assign wire35x = wire25x; assign wire36x = wire26x; assign out_34x = wire27x; assign out_35x = wire28x; assign out_36x = wire29x; assign out_37x = wire30x; assign out_38x = wire31x; assign out_39x = wire32x; assign out_40x = a_6x; assign out_41x = a_7x; assign out_42x = a_8x; assign out_43x = a_9x; assign out_44x = a_10x; assign out_45x = a_11x; assign out_46x = a_12x; assign out_47x = a_13x; assign out_48x = a_14x; assign out_49x = a_15x; assign out_50x = a_16x; assign out_51x = a_17x; assign out_52x = a_18x; assign out_53x = a_19x; assign out_54x = a_20x; assign out_55x = a_21x; assign out_56x = a_22x; assign out_57x = wire33x; assign out_58x = wire34x; assign out_59x = wire35x; assign out_60x = wire36x; sa_cache_nc_next_configx_4_2_29_20_7_2_8 m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x, wire6x, wire7x, wire8x, wire9x, wire10x, wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x); endmodule module icache_interface_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, out_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x, out_46x, out_47x, out_48x, out_49x ); input clk; input a_0x; // external name: automaton_output`dcache_input`vw input a_1x; // external name: automaton_output`dcache_input`valid input a_2x; // external name: automaton_output`dcache_input`linv input a_3x; // external name: automaton_output`dcache_input`tw input a_4x; // external name: automaton_output`dcache_input`dw input a_5x; // external name: automaton_output`dcache_input`dirty input a_6x; // external name: automaton_output`icache_input`vw input a_7x; // external name: automaton_output`icache_input`valid input a_8x; // external name: automaton_output`icache_input`linv input a_9x; // external name: automaton_output`icache_input`tw input a_10x; // external name: automaton_output`req input a_11x; // external name: automaton_output`burst input a_12x; // external name: automaton_output`wr input a_13x; // external name: automaton_output`dautomaton_input`snoop input a_14x; // external name: automaton_output`dautomaton_input`snoop_allowed input a_15x; // external name: automaton_output`iautomaton_input`snoop input a_16x; // external name: automaton_output`iautomaton_input`snoop_allowed input a_17x; // external name: automaton_output`dinterface_input`lwrite input a_18x; // external name: automaton_output`dinterface_input`lfill input a_19x; // external name: automaton_output`dinterface_input`snoop_access input a_20x; // external name: automaton_output`dinterface_input`scntclr input a_21x; // external name: automaton_output`dinterface_input`sw input a_22x; // external name: automaton_output`dinterface_input`cache_w input a_23x; // external name: automaton_output`dinterface_input`scntce input a_24x; // external name: automaton_output`iinterface_input`lfill input a_25x; // external name: automaton_output`iinterface_input`snoop_access input a_26x; // external name: automaton_output`iinterface_input`scntclr input a_27x; // external name: automaton_output`iinterface_input`scntce input a_28x; // external name: automaton_output`iinterface_input`sw input [63:0] a_29x; // external name: memory_dout input [63:0] a_30x; // external name: dcache_dout input [28:0] a_31x; // external name: input`data`address input [63:0] a_32x; // external name: input`data`dout input a_33x; // external name: input`data`mw input a_34x; // external name: input`data`mr input [7:0] a_35x; // external name: input`data`mbw input [28:0] a_36x; // external name: input`inst`address input a_37x; // external name: input`inst`mr input a_38x; // external name: input`clear output [28:0] out_39x; // external name: out``address output [7:0] out_40x; // external name: out``mwb output [63:0] out_41x; // external name: out``din output out_42x; // external name: out``scntce output out_43x; // external name: out``scntclr output out_44x; // external name: out``cache_w output out_45x; // external name: out``snoop_access output out_46x; // external name: out``sw output out_47x; // external name: out``lfill output [28:0] out_48x; // external name: out``snoop_address output [63:0] out_49x; // external name: out``mdat assign out_39x = a_36x; assign out_40x = 8'b00000000; assign out_41x = 64'b0000000000000000000000000000000000000000000000000000000000000000; assign out_42x = a_27x; assign out_43x = a_26x; assign out_44x = 1'b0; assign out_46x = a_28x; assign out_47x = a_24x; assign out_49x = ((a_24x & a_17x)) ? (a_30x) : (a_29x); assign out_45x = a_25x; assign out_48x = a_31x; endmodule module icache_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, a_40x, a_41x, a_42x, a_43x, a_44x, a_45x, a_46x, a_47x, a_48x, a_49x, a_50x, a_51x, a_52x, a_53x, a_54x, a_55x, a_56x, a_57x, a_58x, a_59x, a_60x, a_61x, a_62x, a_63x, out_64x, out_65x, out_66x, out_67x, out_68x, out_69x, out_70x, out_71x, out_72x, out_73x, out_74x ); input clk; input a_0x; // external name: automaton_output`dcache_input`vw input a_1x; // external name: automaton_output`dcache_input`valid input a_2x; // external name: automaton_output`dcache_input`linv input a_3x; // external name: automaton_output`dcache_input`tw input a_4x; // external name: automaton_output`dcache_input`dw input a_5x; // external name: automaton_output`dcache_input`dirty input a_6x; // external name: automaton_output`icache_input`vw input a_7x; // external name: automaton_output`icache_input`valid input a_8x; // external name: automaton_output`icache_input`linv input a_9x; // external name: automaton_output`icache_input`tw input a_10x; // external name: automaton_output`req input a_11x; // external name: automaton_output`burst input a_12x; // external name: automaton_output`wr input a_13x; // external name: automaton_output`dautomaton_input`snoop input a_14x; // external name: automaton_output`dautomaton_input`snoop_allowed input a_15x; // external name: automaton_output`iautomaton_input`snoop input a_16x; // external name: automaton_output`iautomaton_input`snoop_allowed input a_17x; // external name: automaton_output`dinterface_input`lwrite input a_18x; // external name: automaton_output`dinterface_input`lfill input a_19x; // external name: automaton_output`dinterface_input`snoop_access input a_20x; // external name: automaton_output`dinterface_input`scntclr input a_21x; // external name: automaton_output`dinterface_input`sw input a_22x; // external name: automaton_output`dinterface_input`cache_w input a_23x; // external name: automaton_output`dinterface_input`scntce input a_24x; // external name: automaton_output`iinterface_input`lfill input a_25x; // external name: automaton_output`iinterface_input`snoop_access input a_26x; // external name: automaton_output`iinterface_input`scntclr input a_27x; // external name: automaton_output`iinterface_input`scntce input a_28x; // external name: automaton_output`iinterface_input`sw input [28:0] a_29x; // external name: memory_interface_output`data`address input [63:0] a_30x; // external name: memory_interface_output`data`dout input a_31x; // external name: memory_interface_output`data`mw input a_32x; // external name: memory_interface_output`data`mr input [7:0] a_33x; // external name: memory_interface_output`data`mbw input [28:0] a_34x; // external name: memory_interface_output`inst`address input a_35x; // external name: memory_interface_output`inst`mr input a_36x; // external name: memory_interface_output`clear input a_37x; // external name: state`istate`cache_rd input a_38x; // external name: state`istate`fill_request input a_39x; // external name: state`istate`wait input a_40x; // external name: state`istate`line_fill input a_41x; // external name: state`istate`last_fill input a_42x; // external name: state`istate`wait4dinit input a_43x; // external name: state`istate`linv input a_44x; // external name: state`istate`linv2 input a_45x; // external name: state`dstate`cache_rd input a_46x; // external name: state`dstate`fill_request input a_47x; // external name: state`dstate`wait input a_48x; // external name: state`dstate`line_fill input a_49x; // external name: state`dstate`last_fill input a_50x; // external name: state`dstate`cache_write input a_51x; // external name: state`dstate`write_request input a_52x; // external name: state`dstate`wait_write input a_53x; // external name: state`dstate`line_write input a_54x; // external name: state`dstate`last_write input a_55x; // external name: state`dstate`wait4snoop input a_56x; // external name: state`dstate`wait4mem input a_57x; // external name: state`dstate`line_invalidate input [7:0] a_58x; // external name: interface_output`cdwb input [28:0] a_59x; // external name: interface_output`address input [63:0] a_60x; // external name: interface_output`di input [63:0] a_61x; // external name: interface_output`dout input [28:0] a_62x; // external name: interface_output`madr input a_63x; // external name: clr output [28:0] out_64x; // external name: out``address output [63:0] out_65x; // external name: out``di output out_66x; // external name: out``valid output out_67x; // external name: out``vw output out_68x; // external name: out``dirty output out_69x; // external name: out``dw output out_70x; // external name: out``clear output out_71x; // external name: out``tw output [7:0] out_72x; // external name: out``cdwb output out_73x; // external name: out``linv output out_74x; // external name: out``cache_rd assign out_72x = a_58x; assign out_64x = a_59x; assign out_65x = a_60x; assign out_66x = a_7x; assign out_67x = a_6x; assign out_68x = 1'b0; assign out_69x = 1'b0; assign out_71x = a_9x; assign out_74x = ((a_37x & (a_55x | a_35x)) | (a_42x & (a_55x | a_45x))); assign out_70x = a_63x; assign out_73x = a_8x; endmodule module ram2p_next_confx_7_2(clk, a_0x, a_1x, a_2x, a_3x, out_4x ); input clk; input [6:0] a_0x; // external name: radr input [6:0] a_1x; // external name: wadr input [1:0] a_2x; // external name: din input a_3x; // external name: we output [1:0] out_4x; // external name: out``dout history_ram1 m0 (clk, a_0x, a_1x, a_2x, a_3x, out_4x); endmodule module history_vector_to_wordx_2_1_29_20_7_2_8(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: vector output [1:0] out_1x; // external name: out` wire wire0x; wire wire1x; assign wire0x = a_0x[1]; assign wire1x = a_0x[0]; assign out_1x = {wire0x, wire1x}; endmodule module dm_cache_inputx_2_1_29_20_7_2_8_1(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input [1:0] a_0x; // external name: way_reg input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`di input a_3x; // external name: input`valid input a_4x; // external name: input`vw input a_5x; // external name: input`dirty input a_6x; // external name: input`dw input a_7x; // external name: input`clear input a_8x; // external name: input`tw input [7:0] a_9x; // external name: input`cdwb input a_10x; // external name: input`linv input a_11x; // external name: input`cache_rd output [28:0] out_12x; // external name: out``address output [63:0] out_13x; // external name: out``di output out_14x; // external name: out``valid output out_15x; // external name: out``vw output out_16x; // external name: out``dirty output out_17x; // external name: out``dw output out_18x; // external name: out``clear output out_19x; // external name: out``tw output [7:0] out_20x; // external name: out``cdwb output out_21x; // external name: out``linv output out_22x; // external name: out``cache_rd assign out_12x = a_1x; assign out_13x = a_2x; assign out_14x = a_3x; assign out_15x = (a_4x & a_0x[1]); assign out_16x = a_5x; assign out_17x = (a_6x & a_0x[1]); assign out_18x = a_7x; assign out_19x = (a_8x & a_0x[1]); assign out_20x = {(a_9x[7] & a_0x[1]), (a_9x[6] & a_0x[1]), (a_9x[5] & a_0x[1]), (a_9x[4] & a_0x[1]), (a_9x[3] & a_0x[1]), (a_9x[2] & a_0x[1]), (a_9x[1] & a_0x[1]), (a_9x[0] & a_0x[1])}; assign out_21x = a_10x; assign out_22x = a_11x; endmodule module dm_cache_inputx_2_1_29_20_7_2_8_0(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, out_12x, out_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input [1:0] a_0x; // external name: way_reg input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`di input a_3x; // external name: input`valid input a_4x; // external name: input`vw input a_5x; // external name: input`dirty input a_6x; // external name: input`dw input a_7x; // external name: input`clear input a_8x; // external name: input`tw input [7:0] a_9x; // external name: input`cdwb input a_10x; // external name: input`linv input a_11x; // external name: input`cache_rd output [28:0] out_12x; // external name: out``address output [63:0] out_13x; // external name: out``di output out_14x; // external name: out``valid output out_15x; // external name: out``vw output out_16x; // external name: out``dirty output out_17x; // external name: out``dw output out_18x; // external name: out``clear output out_19x; // external name: out``tw output [7:0] out_20x; // external name: out``cdwb output out_21x; // external name: out``linv output out_22x; // external name: out``cache_rd assign out_12x = a_1x; assign out_13x = a_2x; assign out_14x = a_3x; assign out_15x = (a_4x & a_0x[0]); assign out_16x = a_5x; assign out_17x = (a_6x & a_0x[0]); assign out_18x = a_7x; assign out_19x = (a_8x & a_0x[0]); assign out_20x = {(a_9x[7] & a_0x[0]), (a_9x[6] & a_0x[0]), (a_9x[5] & a_0x[0]), (a_9x[4] & a_0x[0]), (a_9x[3] & a_0x[0]), (a_9x[2] & a_0x[0]), (a_9x[1] & a_0x[0]), (a_9x[0] & a_0x[0])}; assign out_21x = a_10x; assign out_22x = a_11x; endmodule module encoderf_implx_1_2(clk, a_0x, out_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a output out_1x; // external name: out``or_tree output out_2x; // external name: out``encf_out wire [1:0] wire0x; wire wire1x; wire wire2x; assign wire0x = a_0x; assign out_1x = wire1x; assign out_2x = wire2x; encf_recx_1 m0 (clk,wire0x, wire1x, wire2x); endmodule module sa_cache_forward_mux_implx_2_1_29_20_7_2_8(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [1:0] a_0x; // external name: hist_reg input [1:0] a_1x; // external name: history_out input a_2x; // external name: equal output [1:0] out_3x; // external name: out` assign out_3x = {(a_2x) ? (a_0x[1]) : (a_1x[1]), (a_2x) ? (a_0x[0]) : (a_1x[0])}; endmodule module history_word_to_vectorx_2_1_29_20_7_2_8(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: word output [1:0] out_1x; // external name: out` assign out_1x = {a_0x[1:1], a_0x[0:0]}; endmodule module initial_history_vectorx_2_1(clk, out_0x ); input clk; output [1:0] out_0x; // external name: out` assign out_0x = {1'd1, 1'd0}; endmodule module pp_implx_2(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: a output [1:0] out_1x; // external name: out` wire [1:0] wire0x; wire [1:0] wire1x; assign wire0x = a_0x; assign out_1x = wire1x; pp_recx_2 m0 (clk,wire0x, wire1x); endmodule module or_tree_implx_1_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output out_1x; // external name: out` assign out_1x = a_0x; endmodule module or_implx_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output out_1x; // external name: out` wire wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = wire1x; or_tree_implx_1_1 m0 (clk,wire0x, wire1x); endmodule module zero_implx_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output out_1x; // external name: out` wire wire0x; wire wire1x; assign wire0x = a_0x; assign out_1x = (~wire1x); or_implx_1 m0 (clk,wire0x, wire1x); endmodule module equal_implx_1(clk, a_0x, a_1x, out_2x ); input clk; input a_0x; // external name: a input a_1x; // external name: b output out_2x; // external name: out` wire wire0x; wire wire1x; assign wire0x = (a_0x ^ a_1x); assign out_2x = wire1x; zero_implx_1 m0 (clk,wire0x, wire1x); endmodule module hsel_inputx_2_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [1:0] a_0x; // external name: history_vector input a_1x; // external name: hit_vector_binary input a_2x; // external name: hit output [1:0] out_3x; // external name: out` wire wire0x; wire wire1x; wire wire2x; wire wire3x; // external name: eq wire wire4x; wire wire5x; wire wire6x; wire wire7x; // external name: eq wire [1:0] wire8x; wire [1:0] wire9x; assign wire0x = a_0x[1]; assign wire1x = a_1x; assign wire3x = wire2x; assign wire4x = a_0x[0]; assign wire5x = a_1x; assign wire7x = wire6x; assign wire8x = {(wire3x & a_2x), (wire7x & a_2x)}; assign out_3x = wire9x; equal_implx_1 m0 (clk,wire0x, wire1x, wire2x); equal_implx_1 m1 (clk,wire4x, wire5x, wire6x); pp_implx_2 m2 (clk,wire8x, wire9x); endmodule module evx_2_1(clk, a_0x, out_1x ); input clk; input [1:0] a_0x; // external name: history_vector output out_1x; // external name: out` assign out_1x = a_0x[1]; endmodule module hsel_a_muxx_2_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input a_0x; // external name: ev_element input a_1x; // external name: hit_vector_binary input a_2x; // external name: hit output out_3x; // external name: out` assign out_3x = (a_2x) ? (a_1x) : (a_0x); endmodule module hsel_b_muxx_2_1(clk, a_0x, a_1x, out_2x ); input clk; input [1:0] a_0x; // external name: pp_out input [1:0] a_1x; // external name: history_vector output out_2x; // external name: out` assign out_2x = (a_0x[0]) ? (a_1x[1]) : (a_1x[0]); endmodule module next_history_vectorx_2_1(clk, a_0x, a_1x, a_2x, a_3x, a_4x, out_5x ); input clk; input [1:0] a_0x; // external name: history_vector input [1:0] a_1x; // external name: hit_vector input a_2x; // external name: hit_vector_binary input a_3x; // external name: hit input a_4x; // external name: linv output [1:0] out_5x; // external name: out` wire [1:0] wire0x; wire wire1x; wire wire2x; wire [1:0] wire3x; wire [1:0] wire4x; // external name: pp_output wire [1:0] wire5x; wire wire6x; wire wire7x; // external name: ev_element wire wire8x; wire wire9x; wire wire10x; wire wire11x; wire wire12x; // external name: a_mux wire [1:0] wire13x; wire [1:0] wire14x; wire wire15x; wire wire16x; // external name: b_mux assign wire0x = a_0x; assign wire1x = a_2x; assign wire2x = a_3x; assign wire4x = wire3x; assign wire5x = a_0x; assign wire7x = wire6x; assign wire8x = wire7x; assign wire9x = a_2x; assign wire10x = a_3x; assign wire12x = wire11x; assign wire13x = wire4x; assign wire14x = a_0x; assign wire16x = wire15x; assign out_5x = {(a_4x) ? (wire12x) : (wire16x), (a_4x) ? (wire16x) : (wire12x)}; hsel_inputx_2_1 m0 (clk,wire0x, wire1x, wire2x, wire3x); evx_2_1 m1 (clk,wire5x, wire6x); hsel_a_muxx_2_1 m2 (clk,wire8x, wire9x, wire10x, wire11x); hsel_b_muxx_2_1 m3 (clk,wire13x, wire14x, wire15x); endmodule module decoder_tree_implx_1_2_1(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output [1:0] out_1x; // external name: out` assign out_1x = {a_0x,(~a_0x)}; endmodule module decoder_implx_1_2(clk, a_0x, out_1x ); input clk; input a_0x; // external name: b output [1:0] out_1x; // external name: out` wire wire0x; wire [1:0] wire1x; assign wire0x = a_0x; assign out_1x = wire1x; decoder_tree_implx_1_2_1 m0 (clk,wire0x, wire1x); endmodule module next_way_regx_2_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [1:0] a_0x; // external name: history_vector input [1:0] a_1x; // external name: hit_vector input a_2x; // external name: hit output [1:0] out_3x; // external name: out` wire [1:0] wire0x; wire wire1x; wire wire2x; wire [1:0] wire3x; wire [1:0] wire4x; // external name: dec assign wire0x = a_0x; assign wire2x = wire1x; assign wire4x = wire3x; assign out_3x = {((wire4x[1] & (~a_2x)) | a_1x[1]), ((wire4x[0] & (~a_2x)) | a_1x[0])}; evx_2_1 m0 (clk,wire0x, wire1x); decoder_implx_1_2 m1 (clk,wire2x, wire3x); endmodule module mux_tree_unary_select_implx_64_2(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [63:0] a_0x; // external name: a(1) input [63:0] a_1x; // external name: a(0) input [1:0] a_2x; // external name: sel output [63:0] out_3x; // external name: out` wire [1:0] wire0x; wire wire1x; wire [1:0] wire2x; wire wire3x; wire [1:0] wire4x; wire wire5x; wire [1:0] wire6x; wire wire7x; wire [1:0] wire8x; wire wire9x; wire [1:0] wire10x; wire wire11x; wire [1:0] wire12x; wire wire13x; wire [1:0] wire14x; wire wire15x; wire [1:0] wire16x; wire wire17x; wire [1:0] wire18x; wire wire19x; wire [1:0] wire20x; wire wire21x; wire [1:0] wire22x; wire wire23x; wire [1:0] wire24x; wire wire25x; wire [1:0] wire26x; wire wire27x; wire [1:0] wire28x; wire wire29x; wire [1:0] wire30x; wire wire31x; wire [1:0] wire32x; wire wire33x; wire [1:0] wire34x; wire wire35x; wire [1:0] wire36x; wire wire37x; wire [1:0] wire38x; wire wire39x; wire [1:0] wire40x; wire wire41x; wire [1:0] wire42x; wire wire43x; wire [1:0] wire44x; wire wire45x; wire [1:0] wire46x; wire wire47x; wire [1:0] wire48x; wire wire49x; wire [1:0] wire50x; wire wire51x; wire [1:0] wire52x; wire wire53x; wire [1:0] wire54x; wire wire55x; wire [1:0] wire56x; wire wire57x; wire [1:0] wire58x; wire wire59x; wire [1:0] wire60x; wire wire61x; wire [1:0] wire62x; wire wire63x; wire [1:0] wire64x; wire wire65x; wire [1:0] wire66x; wire wire67x; wire [1:0] wire68x; wire wire69x; wire [1:0] wire70x; wire wire71x; wire [1:0] wire72x; wire wire73x; wire [1:0] wire74x; wire wire75x; wire [1:0] wire76x; wire wire77x; wire [1:0] wire78x; wire wire79x; wire [1:0] wire80x; wire wire81x; wire [1:0] wire82x; wire wire83x; wire [1:0] wire84x; wire wire85x; wire [1:0] wire86x; wire wire87x; wire [1:0] wire88x; wire wire89x; wire [1:0] wire90x; wire wire91x; wire [1:0] wire92x; wire wire93x; wire [1:0] wire94x; wire wire95x; wire [1:0] wire96x; wire wire97x; wire [1:0] wire98x; wire wire99x; wire [1:0] wire100x; wire wire101x; wire [1:0] wire102x; wire wire103x; wire [1:0] wire104x; wire wire105x; wire [1:0] wire106x; wire wire107x; wire [1:0] wire108x; wire wire109x; wire [1:0] wire110x; wire wire111x; wire [1:0] wire112x; wire wire113x; wire [1:0] wire114x; wire wire115x; wire [1:0] wire116x; wire wire117x; wire [1:0] wire118x; wire wire119x; wire [1:0] wire120x; wire wire121x; wire [1:0] wire122x; wire wire123x; wire [1:0] wire124x; wire wire125x; wire [1:0] wire126x; wire wire127x; assign wire0x = {(a_0x[63] & a_2x[1]), (a_1x[63] & a_2x[0])}; assign wire2x = {(a_0x[62] & a_2x[1]), (a_1x[62] & a_2x[0])}; assign wire4x = {(a_0x[61] & a_2x[1]), (a_1x[61] & a_2x[0])}; assign wire6x = {(a_0x[60] & a_2x[1]), (a_1x[60] & a_2x[0])}; assign wire8x = {(a_0x[59] & a_2x[1]), (a_1x[59] & a_2x[0])}; assign wire10x = {(a_0x[58] & a_2x[1]), (a_1x[58] & a_2x[0])}; assign wire12x = {(a_0x[57] & a_2x[1]), (a_1x[57] & a_2x[0])}; assign wire14x = {(a_0x[56] & a_2x[1]), (a_1x[56] & a_2x[0])}; assign wire16x = {(a_0x[55] & a_2x[1]), (a_1x[55] & a_2x[0])}; assign wire18x = {(a_0x[54] & a_2x[1]), (a_1x[54] & a_2x[0])}; assign wire20x = {(a_0x[53] & a_2x[1]), (a_1x[53] & a_2x[0])}; assign wire22x = {(a_0x[52] & a_2x[1]), (a_1x[52] & a_2x[0])}; assign wire24x = {(a_0x[51] & a_2x[1]), (a_1x[51] & a_2x[0])}; assign wire26x = {(a_0x[50] & a_2x[1]), (a_1x[50] & a_2x[0])}; assign wire28x = {(a_0x[49] & a_2x[1]), (a_1x[49] & a_2x[0])}; assign wire30x = {(a_0x[48] & a_2x[1]), (a_1x[48] & a_2x[0])}; assign wire32x = {(a_0x[47] & a_2x[1]), (a_1x[47] & a_2x[0])}; assign wire34x = {(a_0x[46] & a_2x[1]), (a_1x[46] & a_2x[0])}; assign wire36x = {(a_0x[45] & a_2x[1]), (a_1x[45] & a_2x[0])}; assign wire38x = {(a_0x[44] & a_2x[1]), (a_1x[44] & a_2x[0])}; assign wire40x = {(a_0x[43] & a_2x[1]), (a_1x[43] & a_2x[0])}; assign wire42x = {(a_0x[42] & a_2x[1]), (a_1x[42] & a_2x[0])}; assign wire44x = {(a_0x[41] & a_2x[1]), (a_1x[41] & a_2x[0])}; assign wire46x = {(a_0x[40] & a_2x[1]), (a_1x[40] & a_2x[0])}; assign wire48x = {(a_0x[39] & a_2x[1]), (a_1x[39] & a_2x[0])}; assign wire50x = {(a_0x[38] & a_2x[1]), (a_1x[38] & a_2x[0])}; assign wire52x = {(a_0x[37] & a_2x[1]), (a_1x[37] & a_2x[0])}; assign wire54x = {(a_0x[36] & a_2x[1]), (a_1x[36] & a_2x[0])}; assign wire56x = {(a_0x[35] & a_2x[1]), (a_1x[35] & a_2x[0])}; assign wire58x = {(a_0x[34] & a_2x[1]), (a_1x[34] & a_2x[0])}; assign wire60x = {(a_0x[33] & a_2x[1]), (a_1x[33] & a_2x[0])}; assign wire62x = {(a_0x[32] & a_2x[1]), (a_1x[32] & a_2x[0])}; assign wire64x = {(a_0x[31] & a_2x[1]), (a_1x[31] & a_2x[0])}; assign wire66x = {(a_0x[30] & a_2x[1]), (a_1x[30] & a_2x[0])}; assign wire68x = {(a_0x[29] & a_2x[1]), (a_1x[29] & a_2x[0])}; assign wire70x = {(a_0x[28] & a_2x[1]), (a_1x[28] & a_2x[0])}; assign wire72x = {(a_0x[27] & a_2x[1]), (a_1x[27] & a_2x[0])}; assign wire74x = {(a_0x[26] & a_2x[1]), (a_1x[26] & a_2x[0])}; assign wire76x = {(a_0x[25] & a_2x[1]), (a_1x[25] & a_2x[0])}; assign wire78x = {(a_0x[24] & a_2x[1]), (a_1x[24] & a_2x[0])}; assign wire80x = {(a_0x[23] & a_2x[1]), (a_1x[23] & a_2x[0])}; assign wire82x = {(a_0x[22] & a_2x[1]), (a_1x[22] & a_2x[0])}; assign wire84x = {(a_0x[21] & a_2x[1]), (a_1x[21] & a_2x[0])}; assign wire86x = {(a_0x[20] & a_2x[1]), (a_1x[20] & a_2x[0])}; assign wire88x = {(a_0x[19] & a_2x[1]), (a_1x[19] & a_2x[0])}; assign wire90x = {(a_0x[18] & a_2x[1]), (a_1x[18] & a_2x[0])}; assign wire92x = {(a_0x[17] & a_2x[1]), (a_1x[17] & a_2x[0])}; assign wire94x = {(a_0x[16] & a_2x[1]), (a_1x[16] & a_2x[0])}; assign wire96x = {(a_0x[15] & a_2x[1]), (a_1x[15] & a_2x[0])}; assign wire98x = {(a_0x[14] & a_2x[1]), (a_1x[14] & a_2x[0])}; assign wire100x = {(a_0x[13] & a_2x[1]), (a_1x[13] & a_2x[0])}; assign wire102x = {(a_0x[12] & a_2x[1]), (a_1x[12] & a_2x[0])}; assign wire104x = {(a_0x[11] & a_2x[1]), (a_1x[11] & a_2x[0])}; assign wire106x = {(a_0x[10] & a_2x[1]), (a_1x[10] & a_2x[0])}; assign wire108x = {(a_0x[9] & a_2x[1]), (a_1x[9] & a_2x[0])}; assign wire110x = {(a_0x[8] & a_2x[1]), (a_1x[8] & a_2x[0])}; assign wire112x = {(a_0x[7] & a_2x[1]), (a_1x[7] & a_2x[0])}; assign wire114x = {(a_0x[6] & a_2x[1]), (a_1x[6] & a_2x[0])}; assign wire116x = {(a_0x[5] & a_2x[1]), (a_1x[5] & a_2x[0])}; assign wire118x = {(a_0x[4] & a_2x[1]), (a_1x[4] & a_2x[0])}; assign wire120x = {(a_0x[3] & a_2x[1]), (a_1x[3] & a_2x[0])}; assign wire122x = {(a_0x[2] & a_2x[1]), (a_1x[2] & a_2x[0])}; assign wire124x = {(a_0x[1] & a_2x[1]), (a_1x[1] & a_2x[0])}; assign wire126x = {(a_0x[0] & a_2x[1]), (a_1x[0] & a_2x[0])}; assign out_3x = {wire1x, wire3x, wire5x, wire7x, wire9x, wire11x, wire13x, wire15x, wire17x, wire19x, wire21x, wire23x, wire25x, wire27x, wire29x, wire31x, wire33x, wire35x, wire37x, wire39x, wire41x, wire43x, wire45x, wire47x, wire49x, wire51x, wire53x, wire55x, wire57x, wire59x, wire61x, wire63x, wire65x, wire67x, wire69x, wire71x, wire73x, wire75x, wire77x, wire79x, wire81x, wire83x, wire85x, wire87x, wire89x, wire91x, wire93x, wire95x, wire97x, wire99x, wire101x, wire103x, wire105x, wire107x, wire109x, wire111x, wire113x, wire115x, wire117x, wire119x, wire121x, wire123x, wire125x, wire127x}; or_implx_2 m0 (clk,wire0x, wire1x); or_implx_2 m1 (clk,wire2x, wire3x); or_implx_2 m2 (clk,wire4x, wire5x); or_implx_2 m3 (clk,wire6x, wire7x); or_implx_2 m4 (clk,wire8x, wire9x); or_implx_2 m5 (clk,wire10x, wire11x); or_implx_2 m6 (clk,wire12x, wire13x); or_implx_2 m7 (clk,wire14x, wire15x); or_implx_2 m8 (clk,wire16x, wire17x); or_implx_2 m9 (clk,wire18x, wire19x); or_implx_2 m10 (clk,wire20x, wire21x); or_implx_2 m11 (clk,wire22x, wire23x); or_implx_2 m12 (clk,wire24x, wire25x); or_implx_2 m13 (clk,wire26x, wire27x); or_implx_2 m14 (clk,wire28x, wire29x); or_implx_2 m15 (clk,wire30x, wire31x); or_implx_2 m16 (clk,wire32x, wire33x); or_implx_2 m17 (clk,wire34x, wire35x); or_implx_2 m18 (clk,wire36x, wire37x); or_implx_2 m19 (clk,wire38x, wire39x); or_implx_2 m20 (clk,wire40x, wire41x); or_implx_2 m21 (clk,wire42x, wire43x); or_implx_2 m22 (clk,wire44x, wire45x); or_implx_2 m23 (clk,wire46x, wire47x); or_implx_2 m24 (clk,wire48x, wire49x); or_implx_2 m25 (clk,wire50x, wire51x); or_implx_2 m26 (clk,wire52x, wire53x); or_implx_2 m27 (clk,wire54x, wire55x); or_implx_2 m28 (clk,wire56x, wire57x); or_implx_2 m29 (clk,wire58x, wire59x); or_implx_2 m30 (clk,wire60x, wire61x); or_implx_2 m31 (clk,wire62x, wire63x); or_implx_2 m32 (clk,wire64x, wire65x); or_implx_2 m33 (clk,wire66x, wire67x); or_implx_2 m34 (clk,wire68x, wire69x); or_implx_2 m35 (clk,wire70x, wire71x); or_implx_2 m36 (clk,wire72x, wire73x); or_implx_2 m37 (clk,wire74x, wire75x); or_implx_2 m38 (clk,wire76x, wire77x); or_implx_2 m39 (clk,wire78x, wire79x); or_implx_2 m40 (clk,wire80x, wire81x); or_implx_2 m41 (clk,wire82x, wire83x); or_implx_2 m42 (clk,wire84x, wire85x); or_implx_2 m43 (clk,wire86x, wire87x); or_implx_2 m44 (clk,wire88x, wire89x); or_implx_2 m45 (clk,wire90x, wire91x); or_implx_2 m46 (clk,wire92x, wire93x); or_implx_2 m47 (clk,wire94x, wire95x); or_implx_2 m48 (clk,wire96x, wire97x); or_implx_2 m49 (clk,wire98x, wire99x); or_implx_2 m50 (clk,wire100x, wire101x); or_implx_2 m51 (clk,wire102x, wire103x); or_implx_2 m52 (clk,wire104x, wire105x); or_implx_2 m53 (clk,wire106x, wire107x); or_implx_2 m54 (clk,wire108x, wire109x); or_implx_2 m55 (clk,wire110x, wire111x); or_implx_2 m56 (clk,wire112x, wire113x); or_implx_2 m57 (clk,wire114x, wire115x); or_implx_2 m58 (clk,wire116x, wire117x); or_implx_2 m59 (clk,wire118x, wire119x); or_implx_2 m60 (clk,wire120x, wire121x); or_implx_2 m61 (clk,wire122x, wire123x); or_implx_2 m62 (clk,wire124x, wire125x); or_implx_2 m63 (clk,wire126x, wire127x); endmodule module sa_cache_dout_implx_2_1_29_20_7_2_8(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [1:0] a_0x; // external name: hit_vector input [63:0] a_1x; // external name: way_dout(1) input [63:0] a_2x; // external name: way_dout(0) output [63:0] out_3x; // external name: out` wire [63:0] wire0x; wire [63:0] wire1x; wire [1:0] wire2x; wire [63:0] wire3x; assign wire0x = a_1x; assign wire1x = a_2x; assign wire2x = a_0x; assign out_3x = wire3x; mux_tree_unary_select_implx_64_2 m0 (clk,wire0x, wire1x, wire2x, wire3x); endmodule module mux_tree_implx_1_2_1(clk, a_0x, a_1x, out_2x ); input clk; input [1:0] a_0x; // external name: a input a_1x; // external name: sel output out_2x; // external name: out` wire [1:0] wire0x; // external name: a_in wire [1:0] wire1x; wire wire2x; wire wire3x; assign wire0x = {a_0x[1], a_0x[0]}; assign wire1x = wire0x; assign wire2x = a_1x; assign out_2x = wire3x; mux_tree_recx_1_1 m0 (clk,wire1x, wire2x, wire3x); endmodule module mux_tree_implx_29_2_1(clk, a_0x, a_1x, a_2x, out_3x ); input clk; input [28:0] a_0x; // external name: a(1) input [28:0] a_1x; // external name: a(0) input a_2x; // external name: sel output [28:0] out_3x; // external name: out` wire [28:0] wire0x; // external name: a_in(1) wire [28:0] wire1x; // external name: a_in(0) wire [28:0] wire2x; wire [28:0] wire3x; wire wire4x; wire [28:0] wire5x; assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = wire0x; assign wire3x = wire1x; assign wire4x = a_2x; assign out_3x = wire5x; mux_tree_recx_29_1 m0 (clk,wire2x, wire3x, wire4x, wire5x); endmodule module sa_cache_nc_next_configx_2_1_29_20_7_2_8(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, out_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x ); input clk; input [1:0] a_0x; // external name: cache`hist_reg input [1:0] a_1x; // external name: cache`way_reg input [6:0] a_2x; // external name: cache`adr_reg input [28:0] a_3x; // external name: input`address input [63:0] a_4x; // external name: input`di input a_5x; // external name: input`valid input a_6x; // external name: input`vw input a_7x; // external name: input`dirty input a_8x; // external name: input`dw input a_9x; // external name: input`clear input a_10x; // external name: input`tw input [7:0] a_11x; // external name: input`cdwb input a_12x; // external name: input`linv input a_13x; // external name: input`cache_rd output [1:0] out_14x; // external name: out``config`hist_reg output [1:0] out_15x; // external name: out``config`way_reg output [6:0] out_16x; // external name: out``config`adr_reg output out_17x; // external name: out``output`hit output out_18x; // external name: out``output`dirty output [28:0] out_19x; // external name: out``output`ev_address output [63:0] out_20x; // external name: out``output`dout wire [28:0] wire0x; wire [6:0] wire1x; wire [6:0] wire2x; // external name: adr_line wire [6:0] wire3x; wire [6:0] wire4x; wire [1:0] wire5x; wire [1:0] wire6x; wire [1:0] wire7x; wire wire8x; wire [1:0] wire9x; wire [1:0] wire10x; // external name: next_history`dout wire [1:0] wire11x; wire [28:0] wire12x; wire [63:0] wire13x; wire wire14x; wire wire15x; wire wire16x; wire wire17x; wire wire18x; wire wire19x; wire [7:0] wire20x; wire wire21x; wire wire22x; wire [28:0] wire23x; wire [63:0] wire24x; wire wire25x; wire wire26x; wire wire27x; wire wire28x; wire wire29x; wire wire30x; wire [7:0] wire31x; wire wire32x; wire wire33x; wire [28:0] wire34x; wire [63:0] wire35x; wire wire36x; wire wire37x; wire wire38x; wire wire39x; wire wire40x; wire wire41x; wire [7:0] wire42x; wire wire43x; wire wire44x; wire wire45x; wire wire46x; wire [28:0] wire47x; wire [63:0] wire48x; wire [1:0] wire49x; wire [28:0] wire50x; wire [63:0] wire51x; wire wire52x; wire wire53x; wire wire54x; wire wire55x; wire wire56x; wire wire57x; wire [7:0] wire58x; wire wire59x; wire wire60x; wire [28:0] wire61x; wire [63:0] wire62x; wire wire63x; wire wire64x; wire wire65x; wire wire66x; wire wire67x; wire wire68x; wire [7:0] wire69x; wire wire70x; wire wire71x; wire [28:0] wire72x; wire [63:0] wire73x; wire wire74x; wire wire75x; wire wire76x; wire wire77x; wire wire78x; wire wire79x; wire [7:0] wire80x; wire wire81x; wire wire82x; wire wire83x; wire wire84x; wire [28:0] wire85x; wire [63:0] wire86x; wire wire87x; // external name: dm_next_conf(1)`output`hit wire wire88x; // external name: dm_next_conf(1)`output`dirty wire [28:0] wire89x; // external name: dm_next_conf(1)`output`ev_address wire [63:0] wire90x; // external name: dm_next_conf(1)`output`dout wire wire91x; // external name: dm_next_conf(0)`output`hit wire wire92x; // external name: dm_next_conf(0)`output`dirty wire [28:0] wire93x; // external name: dm_next_conf(0)`output`ev_address wire [63:0] wire94x; // external name: dm_next_conf(0)`output`dout wire [63:0] wire95x; // external name: way_dout(1) wire [63:0] wire96x; // external name: way_dout(0) wire [1:0] wire97x; // external name: dirty_out wire [28:0] wire98x; // external name: ev_adr_out(1) wire [28:0] wire99x; // external name: ev_adr_out(0) wire [1:0] wire100x; // external name: hit_vector wire [1:0] wire101x; wire wire102x; wire wire103x; wire wire104x; // external name: hit_encf`or_tree wire wire105x; // external name: hit_encf`encf_out wire wire106x; // external name: hit_signal wire [1:0] wire107x; wire [1:0] wire108x; wire [1:0] wire109x; wire [1:0] wire110x; wire [6:0] wire111x; wire [6:0] wire112x; wire wire113x; wire wire114x; wire [1:0] wire115x; wire [1:0] wire116x; // external name: forw_mux wire [1:0] wire117x; wire [1:0] wire118x; wire [1:0] wire119x; wire wire120x; wire wire121x; wire wire122x; wire [1:0] wire123x; wire [1:0] wire124x; wire [1:0] wire125x; wire wire126x; wire [1:0] wire127x; wire [1:0] wire128x; wire [63:0] wire129x; wire [63:0] wire130x; wire [63:0] wire131x; wire [1:0] wire132x; wire wire133x; wire wire134x; wire [28:0] wire135x; wire [28:0] wire136x; wire wire137x; wire [28:0] wire138x; assign wire0x = a_3x; assign wire2x = wire1x; assign wire3x = wire2x; assign wire4x = a_2x; assign wire5x = a_0x; assign wire7x = wire6x; assign wire8x = a_13x; assign wire10x = wire9x; assign wire11x = a_1x; assign wire12x = a_3x; assign wire13x = a_4x; assign wire14x = a_5x; assign wire15x = a_6x; assign wire16x = a_7x; assign wire17x = a_8x; assign wire18x = a_9x; assign wire19x = a_10x; assign wire20x = a_11x; assign wire21x = a_12x; assign wire22x = a_13x; assign wire34x = wire23x; assign wire35x = wire24x; assign wire36x = wire25x; assign wire37x = wire26x; assign wire38x = wire27x; assign wire39x = wire28x; assign wire40x = wire29x; assign wire41x = wire30x; assign wire42x = wire31x; assign wire43x = wire32x; assign wire44x = wire33x; assign wire49x = a_1x; assign wire50x = a_3x; assign wire51x = a_4x; assign wire52x = a_5x; assign wire53x = a_6x; assign wire54x = a_7x; assign wire55x = a_8x; assign wire56x = a_9x; assign wire57x = a_10x; assign wire58x = a_11x; assign wire59x = a_12x; assign wire60x = a_13x; assign wire72x = wire61x; assign wire73x = wire62x; assign wire74x = wire63x; assign wire75x = wire64x; assign wire76x = wire65x; assign wire77x = wire66x; assign wire78x = wire67x; assign wire79x = wire68x; assign wire80x = wire69x; assign wire81x = wire70x; assign wire82x = wire71x; assign wire87x = wire45x; assign wire88x = wire46x; assign wire89x = wire47x; assign wire90x = wire48x; assign wire91x = wire83x; assign wire92x = wire84x; assign wire93x = wire85x; assign wire94x = wire86x; assign wire95x = wire90x; assign wire96x = wire94x; assign wire97x = {wire88x, wire92x}; assign wire98x = wire89x; assign wire99x = wire93x; assign wire100x = {wire87x, wire91x}; assign wire101x = wire100x; assign wire104x = wire102x; assign wire105x = wire103x; assign wire106x = wire104x; assign wire107x = a_0x; assign wire108x = wire10x; assign wire110x = wire109x; assign wire111x = wire2x; assign wire112x = a_2x; assign wire114x = wire113x; assign wire116x = wire115x; assign wire118x = wire116x; assign wire119x = wire100x; assign wire120x = wire105x; assign wire121x = wire106x; assign wire122x = a_12x; assign wire124x = wire116x; assign wire125x = wire100x; assign wire126x = wire106x; assign wire128x = wire100x; assign wire129x = wire95x; assign wire130x = wire96x; assign wire132x = wire97x; assign wire133x = wire116x[1]; assign wire135x = wire98x; assign wire136x = wire99x; assign wire137x = wire116x[1]; assign out_14x = ((a_9x | a_13x)) ? ((a_9x) ? (wire117x) : (wire123x)) : (a_0x); assign out_15x = (a_13x) ? (wire127x) : (a_1x); assign out_16x = (a_13x) ? (wire2x) : (a_2x); assign out_20x = wire131x; assign out_17x = wire106x; assign out_18x = wire134x; assign out_19x = wire138x; address_linex_29_20_7_2_8 m0 (clk,wire0x, wire1x); history_vector_to_wordx_2_1_29_20_7_2_8 m1 (clk,wire5x, wire6x); ram2p_next_confx_7_2 m2 (clk,wire3x, wire4x, wire7x, wire8x, wire9x); dm_cache_inputx_2_1_29_20_7_2_8_1 m3 (clk,wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x, wire27x, wire28x, wire29x, wire30x, wire31x, wire32x, wire33x); dm_cache_nc_next_configx_29_20_7_2_8 m4 (clk,wire34x, wire35x, wire36x, wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x); dm_cache_inputx_2_1_29_20_7_2_8_0 m5 (clk,wire49x, wire50x, wire51x, wire52x, wire53x, wire54x, wire55x, wire56x, wire57x, wire58x, wire59x, wire60x, wire61x, wire62x, wire63x, wire64x, wire65x, wire66x, wire67x, wire68x, wire69x, wire70x, wire71x); dm_cache_nc_next_configx_29_20_7_2_8 m6 (clk,wire72x, wire73x, wire74x, wire75x, wire76x, wire77x, wire78x, wire79x, wire80x, wire81x, wire82x, wire83x, wire84x, wire85x, wire86x); encoderf_implx_1_2 m7 (clk,wire101x, wire102x, wire103x); history_word_to_vectorx_2_1_29_20_7_2_8 m8 (clk,wire108x, wire109x); equal_implx_7 m9 (clk,wire111x, wire112x, wire113x); sa_cache_forward_mux_implx_2_1_29_20_7_2_8 m10 (clk,wire107x, wire110x, wire114x, wire115x); initial_history_vectorx_2_1 m11 (clk,wire117x); next_history_vectorx_2_1 m12 (clk,wire118x, wire119x, wire120x, wire121x, wire122x, wire123x); next_way_regx_2_1 m13 (clk,wire124x, wire125x, wire126x, wire127x); sa_cache_dout_implx_2_1_29_20_7_2_8 m14 (clk,wire128x, wire129x, wire130x, wire131x); mux_tree_implx_1_2_1 m15 (clk,wire132x, wire133x, wire134x); mux_tree_implx_29_2_1 m16 (clk,wire135x, wire136x, wire137x, wire138x); endmodule module generic_cache_nc_next_configx_1_2_1_29_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, out_22x, out_23x, out_24x, out_25x, out_26x, out_27x, out_28x, out_29x, out_30x, out_31x, out_32x, out_33x, out_34x, out_35x, out_36x ); input clk; input [1:0] a_0x; // external name: cache`sa_cache_config`hist_reg input [1:0] a_1x; // external name: cache`sa_cache_config`way_reg input [6:0] a_2x; // external name: cache`sa_cache_config`adr_reg input a_3x; // external name: cache`fa_cache_config`dir(1)`valid input [26:0] a_4x; // external name: cache`fa_cache_config`dir(1)`tag input a_5x; // external name: cache`fa_cache_config`dir(1)`dirty input a_6x; // external name: cache`fa_cache_config`dir(0)`valid input [26:0] a_7x; // external name: cache`fa_cache_config`dir(0)`tag input a_8x; // external name: cache`fa_cache_config`dir(0)`dirty input [1:0] a_9x; // external name: cache`fa_cache_config`history input [1:0] a_10x; // external name: cache`fa_cache_config`way_reg input [28:0] a_11x; // external name: input`address input [63:0] a_12x; // external name: input`di input a_13x; // external name: input`valid input a_14x; // external name: input`vw input a_15x; // external name: input`dirty input a_16x; // external name: input`dw input a_17x; // external name: input`clear input a_18x; // external name: input`tw input [7:0] a_19x; // external name: input`cdwb input a_20x; // external name: input`linv input a_21x; // external name: input`cache_rd output [1:0] out_22x; // external name: out``config`sa_cache_config`hist_reg output [1:0] out_23x; // external name: out``config`sa_cache_config`way_reg output [6:0] out_24x; // external name: out``config`sa_cache_config`adr_reg output out_25x; // external name: out``config`fa_cache_config`dir(1)`valid output [26:0] out_26x; // external name: out``config`fa_cache_config`dir(1)`tag output out_27x; // external name: out``config`fa_cache_config`dir(1)`dirty output out_28x; // external name: out``config`fa_cache_config`dir(0)`valid output [26:0] out_29x; // external name: out``config`fa_cache_config`dir(0)`tag output out_30x; // external name: out``config`fa_cache_config`dir(0)`dirty output [1:0] out_31x; // external name: out``config`fa_cache_config`history output [1:0] out_32x; // external name: out``config`fa_cache_config`way_reg output out_33x; // external name: out``output`hit output out_34x; // external name: out``output`dirty output [28:0] out_35x; // external name: out``output`ev_address output [63:0] out_36x; // external name: out``output`dout wire [1:0] wire0x; wire [1:0] wire1x; wire [6:0] wire2x; wire [28:0] wire3x; wire [63:0] wire4x; wire wire5x; wire wire6x; wire wire7x; wire wire8x; wire wire9x; wire wire10x; wire [7:0] wire11x; wire wire12x; wire wire13x; wire [1:0] wire14x; wire [1:0] wire15x; wire [6:0] wire16x; wire wire17x; wire wire18x; wire [28:0] wire19x; wire [63:0] wire20x; wire [1:0] wire21x; // external name: next`config`hist_reg wire [1:0] wire22x; // external name: next`config`way_reg wire [6:0] wire23x; // external name: next`config`adr_reg wire wire24x; // external name: next`output`hit wire wire25x; // external name: next`output`dirty wire [28:0] wire26x; // external name: next`output`ev_address wire [63:0] wire27x; // external name: next`output`dout assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_11x; assign wire4x = a_12x; assign wire5x = a_13x; assign wire6x = a_14x; assign wire7x = a_15x; assign wire8x = a_16x; assign wire9x = a_17x; assign wire10x = a_18x; assign wire11x = a_19x; assign wire12x = a_20x; assign wire13x = a_21x; assign wire21x = wire14x; assign wire22x = wire15x; assign wire23x = wire16x; assign wire24x = wire17x; assign wire25x = wire18x; assign wire26x = wire19x; assign wire27x = wire20x; assign out_22x = wire21x; assign out_23x = wire22x; assign out_24x = wire23x; assign out_25x = a_3x; assign out_26x = a_4x; assign out_27x = a_5x; assign out_28x = a_6x; assign out_29x = a_7x; assign out_30x = a_8x; assign out_31x = a_9x; assign out_32x = a_10x; assign out_33x = wire24x; assign out_34x = wire25x; assign out_35x = wire26x; assign out_36x = wire27x; sa_cache_nc_next_configx_2_1_29_20_7_2_8 m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x, wire6x, wire7x, wire8x, wire9x, wire10x, wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x); endmodule module pipe_automaton_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, out_18x, out_19x, out_20x, out_21x, out_22x, out_23x, out_24x, out_25x, out_26x ); input clk; input [28:0] a_0x; // external name: input`data`address input [63:0] a_1x; // external name: input`data`dout input a_2x; // external name: input`data`mw input a_3x; // external name: input`data`mr input [7:0] a_4x; // external name: input`data`mbw input [28:0] a_5x; // external name: input`inst`address input a_6x; // external name: input`inst`mr input a_7x; // external name: input`clear input a_8x; // external name: brdy input a_9x; // external name: reqp input a_10x; // external name: dcache_output`hit input a_11x; // external name: dcache_output`dirty input [28:0] a_12x; // external name: dcache_output`ev_address input [63:0] a_13x; // external name: dcache_output`dout input a_14x; // external name: icache_output`hit input a_15x; // external name: icache_output`dirty input [28:0] a_16x; // external name: icache_output`ev_address input [63:0] a_17x; // external name: icache_output`dout output out_18x; // external name: out``dhit output out_19x; // external name: out``ddirty output out_20x; // external name: out``ihit output out_21x; // external name: out``imr output out_22x; // external name: out``dmw output out_23x; // external name: out``dmr output out_24x; // external name: out``clear output out_25x; // external name: out``brdy output out_26x; // external name: out``reqp assign out_18x = a_10x; assign out_19x = a_11x; assign out_20x = a_14x; assign out_21x = a_6x; assign out_22x = a_2x; assign out_23x = a_3x; assign out_24x = a_7x; assign out_25x = a_8x; assign out_26x = a_9x; endmodule module dautomaton_inputx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, out_38x, out_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x, out_46x, out_47x ); input clk; input a_0x; // external name: input`dhit input a_1x; // external name: input`ddirty input a_2x; // external name: input`ihit input a_3x; // external name: input`imr input a_4x; // external name: input`dmw input a_5x; // external name: input`dmr input a_6x; // external name: input`clear input a_7x; // external name: input`brdy input a_8x; // external name: input`reqp input a_9x; // external name: moore`dcache_input`vw input a_10x; // external name: moore`dcache_input`valid input a_11x; // external name: moore`dcache_input`linv input a_12x; // external name: moore`dcache_input`tw input a_13x; // external name: moore`dcache_input`dw input a_14x; // external name: moore`dcache_input`dirty input a_15x; // external name: moore`icache_input`vw input a_16x; // external name: moore`icache_input`valid input a_17x; // external name: moore`icache_input`linv input a_18x; // external name: moore`icache_input`tw input a_19x; // external name: moore`req input a_20x; // external name: moore`burst input a_21x; // external name: moore`wr input a_22x; // external name: moore`dautomaton_input`snoop input a_23x; // external name: moore`dautomaton_input`snoop_allowed input a_24x; // external name: moore`iautomaton_input`snoop input a_25x; // external name: moore`iautomaton_input`snoop_allowed input a_26x; // external name: moore`dinterface_input`lwrite input a_27x; // external name: moore`dinterface_input`lfill input a_28x; // external name: moore`dinterface_input`snoop_access input a_29x; // external name: moore`dinterface_input`scntclr input a_30x; // external name: moore`dinterface_input`sw input a_31x; // external name: moore`dinterface_input`cache_w input a_32x; // external name: moore`dinterface_input`scntce input a_33x; // external name: moore`iinterface_input`lfill input a_34x; // external name: moore`iinterface_input`snoop_access input a_35x; // external name: moore`iinterface_input`scntclr input a_36x; // external name: moore`iinterface_input`scntce input a_37x; // external name: moore`iinterface_input`sw output out_38x; // external name: out``hit output out_39x; // external name: out``dirty output out_40x; // external name: out``mw output out_41x; // external name: out``mr output out_42x; // external name: out``clear output out_43x; // external name: out``snoop_allowed output out_44x; // external name: out``snoop output out_45x; // external name: out``lfill output out_46x; // external name: out``brdy output out_47x; // external name: out``reqp assign out_38x = a_0x; assign out_39x = a_1x; assign out_40x = a_4x; assign out_41x = a_5x; assign out_42x = a_6x; assign out_43x = a_23x; assign out_44x = a_22x; assign out_45x = a_33x; assign out_46x = a_7x; assign out_47x = a_8x; endmodule module iautomaton_inputx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, out_38x, out_39x, out_40x, out_41x, out_42x, out_43x, out_44x ); input clk; input a_0x; // external name: input`dhit input a_1x; // external name: input`ddirty input a_2x; // external name: input`ihit input a_3x; // external name: input`imr input a_4x; // external name: input`dmw input a_5x; // external name: input`dmr input a_6x; // external name: input`clear input a_7x; // external name: input`brdy input a_8x; // external name: input`reqp input a_9x; // external name: moore`dcache_input`vw input a_10x; // external name: moore`dcache_input`valid input a_11x; // external name: moore`dcache_input`linv input a_12x; // external name: moore`dcache_input`tw input a_13x; // external name: moore`dcache_input`dw input a_14x; // external name: moore`dcache_input`dirty input a_15x; // external name: moore`icache_input`vw input a_16x; // external name: moore`icache_input`valid input a_17x; // external name: moore`icache_input`linv input a_18x; // external name: moore`icache_input`tw input a_19x; // external name: moore`req input a_20x; // external name: moore`burst input a_21x; // external name: moore`wr input a_22x; // external name: moore`dautomaton_input`snoop input a_23x; // external name: moore`dautomaton_input`snoop_allowed input a_24x; // external name: moore`iautomaton_input`snoop input a_25x; // external name: moore`iautomaton_input`snoop_allowed input a_26x; // external name: moore`dinterface_input`lwrite input a_27x; // external name: moore`dinterface_input`lfill input a_28x; // external name: moore`dinterface_input`snoop_access input a_29x; // external name: moore`dinterface_input`scntclr input a_30x; // external name: moore`dinterface_input`sw input a_31x; // external name: moore`dinterface_input`cache_w input a_32x; // external name: moore`dinterface_input`scntce input a_33x; // external name: moore`iinterface_input`lfill input a_34x; // external name: moore`iinterface_input`snoop_access input a_35x; // external name: moore`iinterface_input`scntclr input a_36x; // external name: moore`iinterface_input`scntce input a_37x; // external name: moore`iinterface_input`sw output out_38x; // external name: out``hit output out_39x; // external name: out``mr output out_40x; // external name: out``clear output out_41x; // external name: out``snoop_allowed output out_42x; // external name: out``snoop output out_43x; // external name: out``brdy output out_44x; // external name: out``reqp assign out_38x = a_2x; assign out_39x = a_3x; assign out_40x = a_6x; assign out_41x = a_25x; assign out_42x = a_24x; assign out_43x = a_7x; assign out_44x = a_8x; endmodule module dcache_automaton_impl_mealy_outx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, out_23x, out_24x, out_25x, out_26x, out_27x ); input clk; input a_0x; // external name: state`cache_rd input a_1x; // external name: state`fill_request input a_2x; // external name: state`wait input a_3x; // external name: state`line_fill input a_4x; // external name: state`last_fill input a_5x; // external name: state`cache_write input a_6x; // external name: state`write_request input a_7x; // external name: state`wait_write input a_8x; // external name: state`line_write input a_9x; // external name: state`last_write input a_10x; // external name: state`wait4snoop input a_11x; // external name: state`wait4mem input a_12x; // external name: state`line_invalidate input a_13x; // external name: input`hit input a_14x; // external name: input`dirty input a_15x; // external name: input`mw input a_16x; // external name: input`mr input a_17x; // external name: input`clear input a_18x; // external name: input`snoop_allowed input a_19x; // external name: input`snoop input a_20x; // external name: input`lfill input a_21x; // external name: input`brdy input a_22x; // external name: input`reqp output out_23x; // external name: out``cache_rd output out_24x; // external name: out``linv output out_25x; // external name: out``vw output out_26x; // external name: out``snoop_access output out_27x; // external name: out``dbusy assign out_23x = (a_0x & (a_19x | (a_16x | a_15x))); assign out_24x = (a_0x & a_19x); assign out_25x = (a_12x | (a_4x | (a_1x | (a_9x & a_20x)))); assign out_26x = ((a_0x & a_19x) | a_12x); assign out_27x = (~((a_0x & ((~a_19x) & ((a_13x & a_16x) | ((~a_16x) & (~a_15x))))) | ((a_4x & a_16x) | a_5x))); endmodule module icache_automaton_impl_mealy_outx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, out_15x, out_16x ); input clk; input a_0x; // external name: state`cache_rd input a_1x; // external name: state`fill_request input a_2x; // external name: state`wait input a_3x; // external name: state`line_fill input a_4x; // external name: state`last_fill input a_5x; // external name: state`wait4dinit input a_6x; // external name: state`linv input a_7x; // external name: state`linv2 input a_8x; // external name: input`hit input a_9x; // external name: input`mr input a_10x; // external name: input`clear input a_11x; // external name: input`snoop_allowed input a_12x; // external name: input`snoop input a_13x; // external name: input`brdy input a_14x; // external name: input`reqp output out_15x; // external name: out``cache_rd output out_16x; // external name: out``ibusy assign out_15x = ((a_0x & (a_12x | a_9x)) | (a_5x & (a_12x | a_11x))); assign out_16x = (~((a_0x & ((~a_12x) & ((a_8x & a_9x) | (~a_9x)))) | a_4x)); endmodule module dcache_delta_implx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, out_23x, out_24x, out_25x, out_26x, out_27x, out_28x, out_29x, out_30x, out_31x, out_32x, out_33x, out_34x, out_35x ); input clk; input a_0x; // external name: state`cache_rd input a_1x; // external name: state`fill_request input a_2x; // external name: state`wait input a_3x; // external name: state`line_fill input a_4x; // external name: state`last_fill input a_5x; // external name: state`cache_write input a_6x; // external name: state`write_request input a_7x; // external name: state`wait_write input a_8x; // external name: state`line_write input a_9x; // external name: state`last_write input a_10x; // external name: state`wait4snoop input a_11x; // external name: state`wait4mem input a_12x; // external name: state`line_invalidate input a_13x; // external name: input`hit input a_14x; // external name: input`dirty input a_15x; // external name: input`mw input a_16x; // external name: input`mr input a_17x; // external name: input`clear input a_18x; // external name: input`snoop_allowed input a_19x; // external name: input`snoop input a_20x; // external name: input`lfill input a_21x; // external name: input`brdy input a_22x; // external name: input`reqp output out_23x; // external name: out``cache_rd output out_24x; // external name: out``fill_request output out_25x; // external name: out``wait output out_26x; // external name: out``line_fill output out_27x; // external name: out``last_fill output out_28x; // external name: out``cache_write output out_29x; // external name: out``write_request output out_30x; // external name: out``wait_write output out_31x; // external name: out``line_write output out_32x; // external name: out``last_write output out_33x; // external name: out``wait4snoop output out_34x; // external name: out``wait4mem output out_35x; // external name: out``line_invalidate assign out_23x = (a_17x | ((a_0x & ((a_13x & ((~a_19x) & (~a_15x))) | (((~a_13x) & ((~a_15x) & (~a_16x))) | ((~a_13x) & a_19x)))) | ((a_4x & a_16x) | ((a_9x & a_20x) | (a_5x | a_12x))))); assign out_35x = ((~a_17x) & (a_0x & (a_13x & ((~a_14x) & a_19x)))); assign out_28x = ((~a_17x) & ((a_0x & (a_13x & ((~a_19x) & a_15x))) | (a_4x & (~a_16x)))); assign out_24x = ((~a_17x) & (a_10x & a_18x)); assign out_26x = ((~a_17x) & ((a_2x & (a_21x & a_22x)) | (a_3x & (a_21x & a_22x)))); assign out_25x = ((~a_17x) & (a_1x | ((a_2x & (~a_21x)) | (a_3x & (~a_21x))))); assign out_27x = ((~a_17x) & ((a_2x & (a_21x & (~a_22x))) | (a_3x & (a_21x & (~a_22x))))); assign out_29x = ((~a_17x) & ((a_11x & (~a_20x)) | (a_0x & (a_13x & (a_14x & a_19x))))); assign out_31x = ((~a_17x) & ((a_7x & (a_21x & a_22x)) | (a_8x & (a_21x & a_22x)))); assign out_30x = ((~a_17x) & (a_6x | ((a_7x & (~a_21x)) | (a_8x & (~a_21x))))); assign out_32x = ((~a_17x) & ((a_7x & (a_21x & (~a_22x))) | (a_8x & (a_21x & (~a_22x))))); assign out_34x = ((~a_17x) & ((a_0x & ((~a_13x) & (a_14x & ((a_15x | a_16x) & (~a_19x))))) | (a_11x & a_20x))); assign out_33x = ((~a_17x) & ((a_9x & (~a_20x)) | ((a_0x & ((~a_13x) & ((~a_14x) & ((a_15x | a_16x) & (~a_19x))))) | (a_10x & (~a_18x))))); endmodule module icache_delta_implx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, out_15x, out_16x, out_17x, out_18x, out_19x, out_20x, out_21x, out_22x ); input clk; input a_0x; // external name: state`cache_rd input a_1x; // external name: state`fill_request input a_2x; // external name: state`wait input a_3x; // external name: state`line_fill input a_4x; // external name: state`last_fill input a_5x; // external name: state`wait4dinit input a_6x; // external name: state`linv input a_7x; // external name: state`linv2 input a_8x; // external name: input`hit input a_9x; // external name: input`mr input a_10x; // external name: input`clear input a_11x; // external name: input`snoop_allowed input a_12x; // external name: input`snoop input a_13x; // external name: input`brdy input a_14x; // external name: input`reqp output out_15x; // external name: out``cache_rd output out_16x; // external name: out``fill_request output out_17x; // external name: out``wait output out_18x; // external name: out``line_fill output out_19x; // external name: out``last_fill output out_20x; // external name: out``wait4dinit output out_21x; // external name: out``linv output out_22x; // external name: out``linv2 assign out_15x = (a_10x | ((a_0x & ((a_8x & (~a_12x)) | (((~a_8x) & a_12x) | ((~a_8x) & ((~a_12x) & (~a_9x)))))) | (a_4x | a_6x))); assign out_21x = ((~a_10x) & (a_0x & (a_8x & a_12x))); assign out_20x = ((~a_10x) & ((a_0x & ((~a_8x) & ((~a_12x) & a_9x))) | ((a_5x & (~((a_8x & a_12x) | ((~a_12x) & a_11x)))) | a_7x))); assign out_22x = ((~a_10x) & (a_5x & (a_8x & a_12x))); assign out_16x = ((~a_10x) & (a_5x & ((~a_12x) & a_11x))); assign out_18x = ((~a_10x) & ((a_2x & (a_13x & a_14x)) | (a_3x & (a_13x & a_14x)))); assign out_17x = ((~a_10x) & (a_1x | ((a_2x & (~a_13x)) | (a_3x & (~a_13x))))); assign out_19x = ((~a_10x) & ((a_2x & (a_13x & (~a_14x))) | (a_3x & (a_13x & (~a_14x))))); endmodule module pipe_automaton_impl_next_confx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, out_30x, out_31x, out_32x, out_33x, out_34x, out_35x, out_36x, out_37x, out_38x, out_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x, out_46x, out_47x, out_48x, out_49x, out_50x, out_51x, out_52x, out_53x, out_54x, out_55x, out_56x, out_57x, out_58x, out_59x, out_60x, out_61x, out_62x, out_63x, out_64x, out_65x, out_66x, out_67x, out_68x, out_69x, out_70x, out_71x, out_72x, out_73x, out_74x, out_75x, out_76x, out_77x, out_78x, out_79x ); input clk; input a_0x; // external name: state`istate`cache_rd input a_1x; // external name: state`istate`fill_request input a_2x; // external name: state`istate`wait input a_3x; // external name: state`istate`line_fill input a_4x; // external name: state`istate`last_fill input a_5x; // external name: state`istate`wait4dinit input a_6x; // external name: state`istate`linv input a_7x; // external name: state`istate`linv2 input a_8x; // external name: state`dstate`cache_rd input a_9x; // external name: state`dstate`fill_request input a_10x; // external name: state`dstate`wait input a_11x; // external name: state`dstate`line_fill input a_12x; // external name: state`dstate`last_fill input a_13x; // external name: state`dstate`cache_write input a_14x; // external name: state`dstate`write_request input a_15x; // external name: state`dstate`wait_write input a_16x; // external name: state`dstate`line_write input a_17x; // external name: state`dstate`last_write input a_18x; // external name: state`dstate`wait4snoop input a_19x; // external name: state`dstate`wait4mem input a_20x; // external name: state`dstate`line_invalidate input a_21x; // external name: input`dhit input a_22x; // external name: input`ddirty input a_23x; // external name: input`ihit input a_24x; // external name: input`imr input a_25x; // external name: input`dmw input a_26x; // external name: input`dmr input a_27x; // external name: input`clear input a_28x; // external name: input`brdy input a_29x; // external name: input`reqp output out_30x; // external name: out``next_conf`istate`cache_rd output out_31x; // external name: out``next_conf`istate`fill_request output out_32x; // external name: out``next_conf`istate`wait output out_33x; // external name: out``next_conf`istate`line_fill output out_34x; // external name: out``next_conf`istate`last_fill output out_35x; // external name: out``next_conf`istate`wait4dinit output out_36x; // external name: out``next_conf`istate`linv output out_37x; // external name: out``next_conf`istate`linv2 output out_38x; // external name: out``next_conf`dstate`cache_rd output out_39x; // external name: out``next_conf`dstate`fill_request output out_40x; // external name: out``next_conf`dstate`wait output out_41x; // external name: out``next_conf`dstate`line_fill output out_42x; // external name: out``next_conf`dstate`last_fill output out_43x; // external name: out``next_conf`dstate`cache_write output out_44x; // external name: out``next_conf`dstate`write_request output out_45x; // external name: out``next_conf`dstate`wait_write output out_46x; // external name: out``next_conf`dstate`line_write output out_47x; // external name: out``next_conf`dstate`last_write output out_48x; // external name: out``next_conf`dstate`wait4snoop output out_49x; // external name: out``next_conf`dstate`wait4mem output out_50x; // external name: out``next_conf`dstate`line_invalidate output out_51x; // external name: out``output`dcache_input`vw output out_52x; // external name: out``output`dcache_input`valid output out_53x; // external name: out``output`dcache_input`linv output out_54x; // external name: out``output`dcache_input`tw output out_55x; // external name: out``output`dcache_input`dw output out_56x; // external name: out``output`dcache_input`dirty output out_57x; // external name: out``output`dcache_input`cache_rd output out_58x; // external name: out``output`icache_input`vw output out_59x; // external name: out``output`icache_input`valid output out_60x; // external name: out``output`icache_input`linv output out_61x; // external name: out``output`icache_input`tw output out_62x; // external name: out``output`icache_input`cache_rd output out_63x; // external name: out``output`req output out_64x; // external name: out``output`burst output out_65x; // external name: out``output`wr output out_66x; // external name: out``output`ibusy output out_67x; // external name: out``output`dbusy output out_68x; // external name: out``output`dinterface_input`lwrite output out_69x; // external name: out``output`dinterface_input`lfill output out_70x; // external name: out``output`dinterface_input`snoop_access output out_71x; // external name: out``output`dinterface_input`scntclr output out_72x; // external name: out``output`dinterface_input`sw output out_73x; // external name: out``output`dinterface_input`cache_w output out_74x; // external name: out``output`dinterface_input`scntce output out_75x; // external name: out``output`iinterface_input`lfill output out_76x; // external name: out``output`iinterface_input`snoop_access output out_77x; // external name: out``output`iinterface_input`scntclr output out_78x; // external name: out``output`iinterface_input`scntce output out_79x; // external name: out``output`iinterface_input`sw wire wire0x; wire wire1x; wire wire2x; wire wire3x; wire wire4x; wire wire5x; wire wire6x; wire wire7x; wire wire8x; wire wire9x; wire wire10x; wire wire11x; wire wire12x; wire wire13x; wire wire14x; wire wire15x; wire wire16x; wire wire17x; wire wire18x; wire wire19x; wire wire20x; wire wire21x; wire wire22x; wire wire23x; wire wire24x; wire wire25x; wire wire26x; wire wire27x; wire wire28x; wire wire29x; wire wire30x; wire wire31x; wire wire32x; wire wire33x; wire wire34x; wire wire35x; wire wire36x; wire wire37x; wire wire38x; wire wire39x; wire wire40x; wire wire41x; wire wire42x; wire wire43x; wire wire44x; wire wire45x; wire wire46x; wire wire47x; wire wire48x; wire wire49x; wire wire50x; // external name: moore`dcache_input`vw wire wire51x; // external name: moore`dcache_input`valid wire wire52x; // external name: moore`dcache_input`linv wire wire53x; // external name: moore`dcache_input`tw wire wire54x; // external name: moore`dcache_input`dw wire wire55x; // external name: moore`dcache_input`dirty wire wire56x; // external name: moore`icache_input`vw wire wire57x; // external name: moore`icache_input`valid wire wire58x; // external name: moore`icache_input`linv wire wire59x; // external name: moore`icache_input`tw wire wire60x; // external name: moore`req wire wire61x; // external name: moore`burst wire wire62x; // external name: moore`wr wire wire63x; // external name: moore`dautomaton_input`snoop wire wire64x; // external name: moore`dautomaton_input`snoop_allowed wire wire65x; // external name: moore`iautomaton_input`snoop wire wire66x; // external name: moore`iautomaton_input`snoop_allowed wire wire67x; // external name: moore`dinterface_input`lwrite wire wire68x; // external name: moore`dinterface_input`lfill wire wire69x; // external name: moore`dinterface_input`snoop_access wire wire70x; // external name: moore`dinterface_input`scntclr wire wire71x; // external name: moore`dinterface_input`sw wire wire72x; // external name: moore`dinterface_input`cache_w wire wire73x; // external name: moore`dinterface_input`scntce wire wire74x; // external name: moore`iinterface_input`lfill wire wire75x; // external name: moore`iinterface_input`snoop_access wire wire76x; // external name: moore`iinterface_input`scntclr wire wire77x; // external name: moore`iinterface_input`scntce wire wire78x; // external name: moore`iinterface_input`sw wire wire79x; wire wire80x; wire wire81x; wire wire82x; wire wire83x; wire wire84x; wire wire85x; wire wire86x; wire wire87x; wire wire88x; wire wire89x; wire wire90x; wire wire91x; wire wire92x; wire wire93x; wire wire94x; wire wire95x; wire wire96x; wire wire97x; wire wire98x; wire wire99x; wire wire100x; wire wire101x; wire wire102x; wire wire103x; wire wire104x; wire wire105x; wire wire106x; wire wire107x; wire wire108x; wire wire109x; wire wire110x; wire wire111x; wire wire112x; wire wire113x; wire wire114x; wire wire115x; wire wire116x; wire wire117x; wire wire118x; wire wire119x; wire wire120x; wire wire121x; wire wire122x; wire wire123x; wire wire124x; wire wire125x; wire wire126x; wire wire127x; // external name: dautomaton_in`hit wire wire128x; // external name: dautomaton_in`dirty wire wire129x; // external name: dautomaton_in`mw wire wire130x; // external name: dautomaton_in`mr wire wire131x; // external name: dautomaton_in`clear wire wire132x; // external name: dautomaton_in`snoop_allowed wire wire133x; // external name: dautomaton_in`snoop wire wire134x; // external name: dautomaton_in`lfill wire wire135x; // external name: dautomaton_in`brdy wire wire136x; // external name: dautomaton_in`reqp wire wire137x; wire wire138x; wire wire139x; wire wire140x; wire wire141x; wire wire142x; wire wire143x; wire wire144x; wire wire145x; wire wire146x; wire wire147x; wire wire148x; wire wire149x; wire wire150x; wire wire151x; wire wire152x; wire wire153x; wire wire154x; wire wire155x; wire wire156x; wire wire157x; wire wire158x; wire wire159x; wire wire160x; wire wire161x; wire wire162x; wire wire163x; wire wire164x; wire wire165x; wire wire166x; wire wire167x; wire wire168x; wire wire169x; wire wire170x; wire wire171x; wire wire172x; wire wire173x; wire wire174x; wire wire175x; wire wire176x; wire wire177x; wire wire178x; wire wire179x; wire wire180x; wire wire181x; wire wire182x; // external name: iautomaton_in`hit wire wire183x; // external name: iautomaton_in`mr wire wire184x; // external name: iautomaton_in`clear wire wire185x; // external name: iautomaton_in`snoop_allowed wire wire186x; // external name: iautomaton_in`snoop wire wire187x; // external name: iautomaton_in`brdy wire wire188x; // external name: iautomaton_in`reqp wire wire189x; wire wire190x; wire wire191x; wire wire192x; wire wire193x; wire wire194x; wire wire195x; wire wire196x; wire wire197x; wire wire198x; wire wire199x; wire wire200x; wire wire201x; wire wire202x; wire wire203x; wire wire204x; wire wire205x; wire wire206x; wire wire207x; wire wire208x; wire wire209x; wire wire210x; wire wire211x; wire wire212x; wire wire213x; wire wire214x; wire wire215x; wire wire216x; wire wire217x; // external name: dmealy`cache_rd wire wire218x; // external name: dmealy`linv wire wire219x; // external name: dmealy`vw wire wire220x; // external name: dmealy`snoop_access wire wire221x; // external name: dmealy`dbusy wire wire222x; wire wire223x; wire wire224x; wire wire225x; wire wire226x; wire wire227x; wire wire228x; wire wire229x; wire wire230x; wire wire231x; wire wire232x; wire wire233x; wire wire234x; wire wire235x; wire wire236x; wire wire237x; wire wire238x; wire wire239x; // external name: imealy`cache_rd wire wire240x; // external name: imealy`ibusy wire wire241x; wire wire242x; wire wire243x; wire wire244x; wire wire245x; wire wire246x; wire wire247x; wire wire248x; wire wire249x; wire wire250x; wire wire251x; wire wire252x; wire wire253x; wire wire254x; wire wire255x; wire wire256x; wire wire257x; wire wire258x; wire wire259x; wire wire260x; wire wire261x; wire wire262x; wire wire263x; wire wire264x; wire wire265x; wire wire266x; wire wire267x; wire wire268x; wire wire269x; wire wire270x; wire wire271x; wire wire272x; wire wire273x; wire wire274x; wire wire275x; wire wire276x; wire wire277x; wire wire278x; wire wire279x; wire wire280x; wire wire281x; wire wire282x; wire wire283x; wire wire284x; wire wire285x; wire wire286x; wire wire287x; wire wire288x; wire wire289x; wire wire290x; wire wire291x; wire wire292x; wire wire293x; wire wire294x; wire wire295x; wire wire296x; wire wire297x; wire wire298x; wire wire299x; assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire4x = a_4x; assign wire5x = a_5x; assign wire6x = a_6x; assign wire7x = a_7x; assign wire8x = a_8x; assign wire9x = a_9x; assign wire10x = a_10x; assign wire11x = a_11x; assign wire12x = a_12x; assign wire13x = a_13x; assign wire14x = a_14x; assign wire15x = a_15x; assign wire16x = a_16x; assign wire17x = a_17x; assign wire18x = a_18x; assign wire19x = a_19x; assign wire20x = a_20x; assign wire50x = wire21x; assign wire51x = wire22x; assign wire52x = wire23x; assign wire53x = wire24x; assign wire54x = wire25x; assign wire55x = wire26x; assign wire56x = wire27x; assign wire57x = wire28x; assign wire58x = wire29x; assign wire59x = wire30x; assign wire60x = wire31x; assign wire61x = wire32x; assign wire62x = wire33x; assign wire63x = wire34x; assign wire64x = wire35x; assign wire65x = wire36x; assign wire66x = wire37x; assign wire67x = wire38x; assign wire68x = wire39x; assign wire69x = wire40x; assign wire70x = wire41x; assign wire71x = wire42x; assign wire72x = wire43x; assign wire73x = wire44x; assign wire74x = wire45x; assign wire75x = wire46x; assign wire76x = wire47x; assign wire77x = wire48x; assign wire78x = wire49x; assign wire79x = a_21x; assign wire80x = a_22x; assign wire81x = a_23x; assign wire82x = a_24x; assign wire83x = a_25x; assign wire84x = a_26x; assign wire85x = a_27x; assign wire86x = a_28x; assign wire87x = a_29x; assign wire88x = wire50x; assign wire89x = wire51x; assign wire90x = wire52x; assign wire91x = wire53x; assign wire92x = wire54x; assign wire93x = wire55x; assign wire94x = wire56x; assign wire95x = wire57x; assign wire96x = wire58x; assign wire97x = wire59x; assign wire98x = wire60x; assign wire99x = wire61x; assign wire100x = wire62x; assign wire101x = wire63x; assign wire102x = wire64x; assign wire103x = wire65x; assign wire104x = wire66x; assign wire105x = wire67x; assign wire106x = wire68x; assign wire107x = wire69x; assign wire108x = wire70x; assign wire109x = wire71x; assign wire110x = wire72x; assign wire111x = wire73x; assign wire112x = wire74x; assign wire113x = wire75x; assign wire114x = wire76x; assign wire115x = wire77x; assign wire116x = wire78x; assign wire127x = wire117x; assign wire128x = wire118x; assign wire129x = wire119x; assign wire130x = wire120x; assign wire131x = wire121x; assign wire132x = wire122x; assign wire133x = wire123x; assign wire134x = wire124x; assign wire135x = wire125x; assign wire136x = wire126x; assign wire137x = a_21x; assign wire138x = a_22x; assign wire139x = a_23x; assign wire140x = a_24x; assign wire141x = a_25x; assign wire142x = a_26x; assign wire143x = a_27x; assign wire144x = a_28x; assign wire145x = a_29x; assign wire146x = wire50x; assign wire147x = wire51x; assign wire148x = wire52x; assign wire149x = wire53x; assign wire150x = wire54x; assign wire151x = wire55x; assign wire152x = wire56x; assign wire153x = wire57x; assign wire154x = wire58x; assign wire155x = wire59x; assign wire156x = wire60x; assign wire157x = wire61x; assign wire158x = wire62x; assign wire159x = wire63x; assign wire160x = wire64x; assign wire161x = wire65x; assign wire162x = wire66x; assign wire163x = wire67x; assign wire164x = wire68x; assign wire165x = wire69x; assign wire166x = wire70x; assign wire167x = wire71x; assign wire168x = wire72x; assign wire169x = wire73x; assign wire170x = wire74x; assign wire171x = wire75x; assign wire172x = wire76x; assign wire173x = wire77x; assign wire174x = wire78x; assign wire182x = wire175x; assign wire183x = wire176x; assign wire184x = wire177x; assign wire185x = wire178x; assign wire186x = wire179x; assign wire187x = wire180x; assign wire188x = wire181x; assign wire189x = a_8x; assign wire190x = a_9x; assign wire191x = a_10x; assign wire192x = a_11x; assign wire193x = a_12x; assign wire194x = a_13x; assign wire195x = a_14x; assign wire196x = a_15x; assign wire197x = a_16x; assign wire198x = a_17x; assign wire199x = a_18x; assign wire200x = a_19x; assign wire201x = a_20x; assign wire202x = wire127x; assign wire203x = wire128x; assign wire204x = wire129x; assign wire205x = wire130x; assign wire206x = wire131x; assign wire207x = wire132x; assign wire208x = wire133x; assign wire209x = wire134x; assign wire210x = wire135x; assign wire211x = wire136x; assign wire217x = wire212x; assign wire218x = wire213x; assign wire219x = wire214x; assign wire220x = wire215x; assign wire221x = wire216x; assign wire222x = a_0x; assign wire223x = a_1x; assign wire224x = a_2x; assign wire225x = a_3x; assign wire226x = a_4x; assign wire227x = a_5x; assign wire228x = a_6x; assign wire229x = a_7x; assign wire230x = wire182x; assign wire231x = wire183x; assign wire232x = wire184x; assign wire233x = wire185x; assign wire234x = wire186x; assign wire235x = wire187x; assign wire236x = wire188x; assign wire239x = wire237x; assign wire240x = wire238x; assign wire241x = a_8x; assign wire242x = a_9x; assign wire243x = a_10x; assign wire244x = a_11x; assign wire245x = a_12x; assign wire246x = a_13x; assign wire247x = a_14x; assign wire248x = a_15x; assign wire249x = a_16x; assign wire250x = a_17x; assign wire251x = a_18x; assign wire252x = a_19x; assign wire253x = a_20x; assign wire254x = wire127x; assign wire255x = wire128x; assign wire256x = wire129x; assign wire257x = wire130x; assign wire258x = wire131x; assign wire259x = wire132x; assign wire260x = wire133x; assign wire261x = wire134x; assign wire262x = wire135x; assign wire263x = wire136x; assign wire277x = a_0x; assign wire278x = a_1x; assign wire279x = a_2x; assign wire280x = a_3x; assign wire281x = a_4x; assign wire282x = a_5x; assign wire283x = a_6x; assign wire284x = a_7x; assign wire285x = wire182x; assign wire286x = wire183x; assign wire287x = wire184x; assign wire288x = wire185x; assign wire289x = wire186x; assign wire290x = wire187x; assign wire291x = wire188x; assign out_38x = wire264x; assign out_39x = wire265x; assign out_40x = wire266x; assign out_41x = wire267x; assign out_42x = wire268x; assign out_43x = wire269x; assign out_44x = wire270x; assign out_45x = wire271x; assign out_46x = wire272x; assign out_47x = wire273x; assign out_48x = wire274x; assign out_49x = wire275x; assign out_50x = wire276x; assign out_30x = wire292x; assign out_31x = wire293x; assign out_32x = wire294x; assign out_33x = wire295x; assign out_34x = wire296x; assign out_35x = wire297x; assign out_36x = wire298x; assign out_37x = wire299x; assign out_51x = wire50x; assign out_52x = wire51x; assign out_53x = wire52x; assign out_54x = wire53x; assign out_55x = wire54x; assign out_56x = wire55x; assign out_57x = wire217x; assign out_58x = wire56x; assign out_59x = wire57x; assign out_60x = wire58x; assign out_61x = wire59x; assign out_62x = wire239x; assign out_63x = wire60x; assign out_64x = wire61x; assign out_65x = wire62x; assign out_67x = wire221x; assign out_66x = wire240x; assign out_68x = wire67x; assign out_69x = wire68x; assign out_70x = wire69x; assign out_71x = wire70x; assign out_72x = wire71x; assign out_73x = wire72x; assign out_74x = wire73x; assign out_75x = wire74x; assign out_76x = wire75x; assign out_77x = wire76x; assign out_78x = wire77x; assign out_79x = wire78x; pipe_moore_out_implx m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x, wire6x, wire7x, wire8x, wire9x, wire10x, wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x, wire27x, wire28x, wire29x, wire30x, wire31x, wire32x, wire33x, wire34x, wire35x, wire36x, wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x, wire49x); dautomaton_inputx m1 (clk,wire79x, wire80x, wire81x, wire82x, wire83x, wire84x, wire85x, wire86x, wire87x, wire88x, wire89x, wire90x, wire91x, wire92x, wire93x, wire94x, wire95x, wire96x, wire97x, wire98x, wire99x, wire100x, wire101x, wire102x, wire103x, wire104x, wire105x, wire106x, wire107x, wire108x, wire109x, wire110x, wire111x, wire112x, wire113x, wire114x, wire115x, wire116x, wire117x, wire118x, wire119x, wire120x, wire121x, wire122x, wire123x, wire124x, wire125x, wire126x); iautomaton_inputx m2 (clk,wire137x, wire138x, wire139x, wire140x, wire141x, wire142x, wire143x, wire144x, wire145x, wire146x, wire147x, wire148x, wire149x, wire150x, wire151x, wire152x, wire153x, wire154x, wire155x, wire156x, wire157x, wire158x, wire159x, wire160x, wire161x, wire162x, wire163x, wire164x, wire165x, wire166x, wire167x, wire168x, wire169x, wire170x, wire171x, wire172x, wire173x, wire174x, wire175x, wire176x, wire177x, wire178x, wire179x, wire180x, wire181x); dcache_automaton_impl_mealy_outx m3 (clk,wire189x, wire190x, wire191x, wire192x, wire193x, wire194x, wire195x, wire196x, wire197x, wire198x, wire199x, wire200x, wire201x, wire202x, wire203x, wire204x, wire205x, wire206x, wire207x, wire208x, wire209x, wire210x, wire211x, wire212x, wire213x, wire214x, wire215x, wire216x); icache_automaton_impl_mealy_outx m4 (clk,wire222x, wire223x, wire224x, wire225x, wire226x, wire227x, wire228x, wire229x, wire230x, wire231x, wire232x, wire233x, wire234x, wire235x, wire236x, wire237x, wire238x); dcache_delta_implx m5 (clk,wire241x, wire242x, wire243x, wire244x, wire245x, wire246x, wire247x, wire248x, wire249x, wire250x, wire251x, wire252x, wire253x, wire254x, wire255x, wire256x, wire257x, wire258x, wire259x, wire260x, wire261x, wire262x, wire263x, wire264x, wire265x, wire266x, wire267x, wire268x, wire269x, wire270x, wire271x, wire272x, wire273x, wire274x, wire275x, wire276x); icache_delta_implx m6 (clk,wire277x, wire278x, wire279x, wire280x, wire281x, wire282x, wire283x, wire284x, wire285x, wire286x, wire287x, wire288x, wire289x, wire290x, wire291x, wire292x, wire293x, wire294x, wire295x, wire296x, wire297x, wire298x, wire299x); endmodule module memory_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, out_40x, out_41x, out_42x, out_43x, out_44x, out_45x ); input clk; input a_0x; // external name: automaton_output`dcache_input`vw input a_1x; // external name: automaton_output`dcache_input`valid input a_2x; // external name: automaton_output`dcache_input`linv input a_3x; // external name: automaton_output`dcache_input`tw input a_4x; // external name: automaton_output`dcache_input`dw input a_5x; // external name: automaton_output`dcache_input`dirty input a_6x; // external name: automaton_output`icache_input`vw input a_7x; // external name: automaton_output`icache_input`valid input a_8x; // external name: automaton_output`icache_input`linv input a_9x; // external name: automaton_output`icache_input`tw input a_10x; // external name: automaton_output`req input a_11x; // external name: automaton_output`burst input a_12x; // external name: automaton_output`wr input a_13x; // external name: automaton_output`dautomaton_input`snoop input a_14x; // external name: automaton_output`dautomaton_input`snoop_allowed input a_15x; // external name: automaton_output`iautomaton_input`snoop input a_16x; // external name: automaton_output`iautomaton_input`snoop_allowed input a_17x; // external name: automaton_output`dinterface_input`lwrite input a_18x; // external name: automaton_output`dinterface_input`lfill input a_19x; // external name: automaton_output`dinterface_input`snoop_access input a_20x; // external name: automaton_output`dinterface_input`scntclr input a_21x; // external name: automaton_output`dinterface_input`sw input a_22x; // external name: automaton_output`dinterface_input`cache_w input a_23x; // external name: automaton_output`dinterface_input`scntce input a_24x; // external name: automaton_output`iinterface_input`lfill input a_25x; // external name: automaton_output`iinterface_input`snoop_access input a_26x; // external name: automaton_output`iinterface_input`scntclr input a_27x; // external name: automaton_output`iinterface_input`scntce input a_28x; // external name: automaton_output`iinterface_input`sw input [7:0] a_29x; // external name: iinterface_output`cdwb input [28:0] a_30x; // external name: iinterface_output`address input [63:0] a_31x; // external name: iinterface_output`di input [63:0] a_32x; // external name: iinterface_output`dout input [28:0] a_33x; // external name: iinterface_output`madr input [7:0] a_34x; // external name: dinterface_output`cdwb input [28:0] a_35x; // external name: dinterface_output`address input [63:0] a_36x; // external name: dinterface_output`di input [63:0] a_37x; // external name: dinterface_output`dout input [28:0] a_38x; // external name: dinterface_output`madr input [63:0] a_39x; // external name: din output [28:0] out_40x; // external name: out``address output [63:0] out_41x; // external name: out``din output out_42x; // external name: out``req output out_43x; // external name: out``wr output out_44x; // external name: out``burst output [7:0] out_45x; // external name: out``byte_enable assign out_42x = a_10x; assign out_43x = a_12x; assign out_44x = a_11x; assign out_41x = a_39x; assign out_40x = ((a_24x & (~a_17x))) ? (a_33x) : (a_38x); assign out_45x = 8'b11111111; endmodule module bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, out_6x, out_7x, out_8x , bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); input clk; input [28:0] a_0x; // external name: input`address input [63:0] a_1x; // external name: input`din input a_2x; // external name: input`req input a_3x; // external name: input`wr input a_4x; // external name: input`burst input [7:0] a_5x; // external name: input`byte_enable output [28:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x; // connection to TOP-Level Modul: output [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x; // connection to TOP-Level Modul: output [7:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x; // connection to TOP-Level Modul: output [63:0] out_6x; // external name: out``dout output out_7x; // external name: out``reqp output out_8x; // external name: out``brdy input [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x; // connection to TOP-Level Modul: assign bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x = a_0x; assign bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x = a_1x; assign bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x = a_2x; assign bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x = a_3x; assign bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x = a_4x; assign bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x = a_5x; assign out_6x = bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x; assign out_7x = bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x; assign out_8x = bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x; endmodule module memory_interface_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, a_40x, out_41x, out_42x, out_43x, out_44x ); input clk; input a_0x; // external name: automaton_output`dcache_input`vw input a_1x; // external name: automaton_output`dcache_input`valid input a_2x; // external name: automaton_output`dcache_input`linv input a_3x; // external name: automaton_output`dcache_input`tw input a_4x; // external name: automaton_output`dcache_input`dw input a_5x; // external name: automaton_output`dcache_input`dirty input a_6x; // external name: automaton_output`dcache_input`cache_rd input a_7x; // external name: automaton_output`icache_input`vw input a_8x; // external name: automaton_output`icache_input`valid input a_9x; // external name: automaton_output`icache_input`linv input a_10x; // external name: automaton_output`icache_input`tw input a_11x; // external name: automaton_output`icache_input`cache_rd input a_12x; // external name: automaton_output`req input a_13x; // external name: automaton_output`burst input a_14x; // external name: automaton_output`wr input a_15x; // external name: automaton_output`ibusy input a_16x; // external name: automaton_output`dbusy input a_17x; // external name: automaton_output`dinterface_input`lwrite input a_18x; // external name: automaton_output`dinterface_input`lfill input a_19x; // external name: automaton_output`dinterface_input`snoop_access input a_20x; // external name: automaton_output`dinterface_input`scntclr input a_21x; // external name: automaton_output`dinterface_input`sw input a_22x; // external name: automaton_output`dinterface_input`cache_w input a_23x; // external name: automaton_output`dinterface_input`scntce input a_24x; // external name: automaton_output`iinterface_input`lfill input a_25x; // external name: automaton_output`iinterface_input`snoop_access input a_26x; // external name: automaton_output`iinterface_input`scntclr input a_27x; // external name: automaton_output`iinterface_input`scntce input a_28x; // external name: automaton_output`iinterface_input`sw input [63:0] a_29x; // external name: dcache_dout input [63:0] a_30x; // external name: icache_dout input [7:0] a_31x; // external name: dinterface_output`cdwb input [28:0] a_32x; // external name: dinterface_output`address input [63:0] a_33x; // external name: dinterface_output`di input [63:0] a_34x; // external name: dinterface_output`dout input [28:0] a_35x; // external name: dinterface_output`madr input [7:0] a_36x; // external name: iinterface_output`cdwb input [28:0] a_37x; // external name: iinterface_output`address input [63:0] a_38x; // external name: iinterface_output`di input [63:0] a_39x; // external name: iinterface_output`dout input [28:0] a_40x; // external name: iinterface_output`madr output [63:0] out_41x; // external name: out``data`din output out_42x; // external name: out``data`busy output [63:0] out_43x; // external name: out``inst`din output out_44x; // external name: out``inst`busy assign out_42x = a_16x; assign out_41x = (a_18x) ? (a_34x) : (a_29x); assign out_44x = a_15x; assign out_43x = (a_24x) ? (a_39x) : (a_30x); endmodule module pipe_impl_next_conf_with_caches_ncx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, a_40x, a_41x, a_42x, a_43x, a_44x, a_45x, a_46x, a_47x, a_48x, a_49x, a_50x, a_51x, a_52x, a_53x, a_54x, a_55x, a_56x, a_57x, a_58x, a_59x, a_60x, a_61x, a_62x, a_63x, a_64x, a_65x, a_66x, a_67x, a_68x, a_69x, a_70x, a_71x, out_72x, out_73x, out_74x, out_75x, out_76x, out_77x, out_78x, out_79x, out_80x, out_81x, out_82x, out_83x, out_84x, out_85x, out_86x, out_87x, out_88x, out_89x, out_90x, out_91x, out_92x, out_93x, out_94x, out_95x, out_96x, out_97x, out_98x, out_99x, out_100x, out_101x, out_102x, out_103x, out_104x, out_105x, out_106x, out_107x, out_108x, out_109x, out_110x, out_111x, out_112x, out_113x, out_114x, out_115x, out_116x, out_117x, out_118x, out_119x, out_120x, out_121x, out_122x, out_123x, out_124x, out_125x, out_126x, out_127x, out_128x, out_129x, out_130x, out_131x, out_132x, out_133x, out_134x, out_135x, out_136x, out_137x, out_138x, out_139x , bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); input clk; input a_0x; // external name: pipe_impl`automaton`istate`cache_rd input a_1x; // external name: pipe_impl`automaton`istate`fill_request input a_2x; // external name: pipe_impl`automaton`istate`wait input a_3x; // external name: pipe_impl`automaton`istate`line_fill input a_4x; // external name: pipe_impl`automaton`istate`last_fill input a_5x; // external name: pipe_impl`automaton`istate`wait4dinit input a_6x; // external name: pipe_impl`automaton`istate`linv input a_7x; // external name: pipe_impl`automaton`istate`linv2 input a_8x; // external name: pipe_impl`automaton`dstate`cache_rd input a_9x; // external name: pipe_impl`automaton`dstate`fill_request input a_10x; // external name: pipe_impl`automaton`dstate`wait input a_11x; // external name: pipe_impl`automaton`dstate`line_fill input a_12x; // external name: pipe_impl`automaton`dstate`last_fill input a_13x; // external name: pipe_impl`automaton`dstate`cache_write input a_14x; // external name: pipe_impl`automaton`dstate`write_request input a_15x; // external name: pipe_impl`automaton`dstate`wait_write input a_16x; // external name: pipe_impl`automaton`dstate`line_write input a_17x; // external name: pipe_impl`automaton`dstate`last_write input a_18x; // external name: pipe_impl`automaton`dstate`wait4snoop input a_19x; // external name: pipe_impl`automaton`dstate`wait4mem input a_20x; // external name: pipe_impl`automaton`dstate`line_invalidate input [1:0] a_21x; // external name: pipe_impl`iinterface`scnt input [63:0] a_22x; // external name: pipe_impl`iinterface`fwd_word input [1:0] a_23x; // external name: pipe_impl`dinterface`scnt input [63:0] a_24x; // external name: pipe_impl`dinterface`fwd_word input [28:0] a_25x; // external name: pipe_impl`ev_address input [7:0] a_26x; // external name: pipe_impl`cdwb input [1:0] a_27x; // external name: pipe_impl`dcache`sa_cache_config`hist_reg(3) input [1:0] a_28x; // external name: pipe_impl`dcache`sa_cache_config`hist_reg(2) input [1:0] a_29x; // external name: pipe_impl`dcache`sa_cache_config`hist_reg(1) input [1:0] a_30x; // external name: pipe_impl`dcache`sa_cache_config`hist_reg(0) input [3:0] a_31x; // external name: pipe_impl`dcache`sa_cache_config`way_reg input [6:0] a_32x; // external name: pipe_impl`dcache`sa_cache_config`adr_reg input a_33x; // external name: pipe_impl`dcache`fa_cache_config`dir(3)`valid input [26:0] a_34x; // external name: pipe_impl`dcache`fa_cache_config`dir(3)`tag input a_35x; // external name: pipe_impl`dcache`fa_cache_config`dir(3)`dirty input a_36x; // external name: pipe_impl`dcache`fa_cache_config`dir(2)`valid input [26:0] a_37x; // external name: pipe_impl`dcache`fa_cache_config`dir(2)`tag input a_38x; // external name: pipe_impl`dcache`fa_cache_config`dir(2)`dirty input a_39x; // external name: pipe_impl`dcache`fa_cache_config`dir(1)`valid input [26:0] a_40x; // external name: pipe_impl`dcache`fa_cache_config`dir(1)`tag input a_41x; // external name: pipe_impl`dcache`fa_cache_config`dir(1)`dirty input a_42x; // external name: pipe_impl`dcache`fa_cache_config`dir(0)`valid input [26:0] a_43x; // external name: pipe_impl`dcache`fa_cache_config`dir(0)`tag input a_44x; // external name: pipe_impl`dcache`fa_cache_config`dir(0)`dirty input [1:0] a_45x; // external name: pipe_impl`dcache`fa_cache_config`history(3) input [1:0] a_46x; // external name: pipe_impl`dcache`fa_cache_config`history(2) input [1:0] a_47x; // external name: pipe_impl`dcache`fa_cache_config`history(1) input [1:0] a_48x; // external name: pipe_impl`dcache`fa_cache_config`history(0) input [3:0] a_49x; // external name: pipe_impl`dcache`fa_cache_config`way_reg input [1:0] a_50x; // external name: pipe_impl`icache`sa_cache_config`hist_reg input [1:0] a_51x; // external name: pipe_impl`icache`sa_cache_config`way_reg input [6:0] a_52x; // external name: pipe_impl`icache`sa_cache_config`adr_reg input a_53x; // external name: pipe_impl`icache`fa_cache_config`dir(1)`valid input [26:0] a_54x; // external name: pipe_impl`icache`fa_cache_config`dir(1)`tag input a_55x; // external name: pipe_impl`icache`fa_cache_config`dir(1)`dirty input a_56x; // external name: pipe_impl`icache`fa_cache_config`dir(0)`valid input [26:0] a_57x; // external name: pipe_impl`icache`fa_cache_config`dir(0)`tag input a_58x; // external name: pipe_impl`icache`fa_cache_config`dir(0)`dirty input [1:0] a_59x; // external name: pipe_impl`icache`fa_cache_config`history input [1:0] a_60x; // external name: pipe_impl`icache`fa_cache_config`way_reg input [63:0] a_61x; // external name: pipe_impl`memory_dout input a_62x; // external name: pipe_impl`brdy input a_63x; // external name: pipe_impl`reqp input [28:0] a_64x; // external name: input`data`address input [63:0] a_65x; // external name: input`data`dout input a_66x; // external name: input`data`mw input a_67x; // external name: input`data`mr input [7:0] a_68x; // external name: input`data`mbw input [28:0] a_69x; // external name: input`inst`address input a_70x; // external name: input`inst`mr input a_71x; // external name: input`clear output out_72x; // external name: out``next_conf`automaton`istate`cache_rd output out_73x; // external name: out``next_conf`automaton`istate`fill_request output out_74x; // external name: out``next_conf`automaton`istate`wait output out_75x; // external name: out``next_conf`automaton`istate`line_fill output out_76x; // external name: out``next_conf`automaton`istate`last_fill output out_77x; // external name: out``next_conf`automaton`istate`wait4dinit output out_78x; // external name: out``next_conf`automaton`istate`linv output out_79x; // external name: out``next_conf`automaton`istate`linv2 output out_80x; // external name: out``next_conf`automaton`dstate`cache_rd output out_81x; // external name: out``next_conf`automaton`dstate`fill_request output out_82x; // external name: out``next_conf`automaton`dstate`wait output out_83x; // external name: out``next_conf`automaton`dstate`line_fill output out_84x; // external name: out``next_conf`automaton`dstate`last_fill output out_85x; // external name: out``next_conf`automaton`dstate`cache_write output out_86x; // external name: out``next_conf`automaton`dstate`write_request output out_87x; // external name: out``next_conf`automaton`dstate`wait_write output out_88x; // external name: out``next_conf`automaton`dstate`line_write output out_89x; // external name: out``next_conf`automaton`dstate`last_write output out_90x; // external name: out``next_conf`automaton`dstate`wait4snoop output out_91x; // external name: out``next_conf`automaton`dstate`wait4mem output out_92x; // external name: out``next_conf`automaton`dstate`line_invalidate output [1:0] out_93x; // external name: out``next_conf`iinterface`scnt output [63:0] out_94x; // external name: out``next_conf`iinterface`fwd_word output [1:0] out_95x; // external name: out``next_conf`dinterface`scnt output [63:0] out_96x; // external name: out``next_conf`dinterface`fwd_word output [28:0] out_97x; // external name: out``next_conf`ev_address output [7:0] out_98x; // external name: out``next_conf`cdwb output [1:0] out_99x; // external name: out``next_conf`dcache`sa_cache_config`hist_reg(3) output [1:0] out_100x; // external name: out``next_conf`dcache`sa_cache_config`hist_reg(2) output [1:0] out_101x; // external name: out``next_conf`dcache`sa_cache_config`hist_reg(1) output [1:0] out_102x; // external name: out``next_conf`dcache`sa_cache_config`hist_reg(0) output [3:0] out_103x; // external name: out``next_conf`dcache`sa_cache_config`way_reg output [6:0] out_104x; // external name: out``next_conf`dcache`sa_cache_config`adr_reg output out_105x; // external name: out``next_conf`dcache`fa_cache_config`dir(3)`valid output [26:0] out_106x; // external name: out``next_conf`dcache`fa_cache_config`dir(3)`tag output out_107x; // external name: out``next_conf`dcache`fa_cache_config`dir(3)`dirty output out_108x; // external name: out``next_conf`dcache`fa_cache_config`dir(2)`valid output [26:0] out_109x; // external name: out``next_conf`dcache`fa_cache_config`dir(2)`tag output out_110x; // external name: out``next_conf`dcache`fa_cache_config`dir(2)`dirty output out_111x; // external name: out``next_conf`dcache`fa_cache_config`dir(1)`valid output [26:0] out_112x; // external name: out``next_conf`dcache`fa_cache_config`dir(1)`tag output out_113x; // external name: out``next_conf`dcache`fa_cache_config`dir(1)`dirty output out_114x; // external name: out``next_conf`dcache`fa_cache_config`dir(0)`valid output [26:0] out_115x; // external name: out``next_conf`dcache`fa_cache_config`dir(0)`tag output out_116x; // external name: out``next_conf`dcache`fa_cache_config`dir(0)`dirty output [1:0] out_117x; // external name: out``next_conf`dcache`fa_cache_config`history(3) output [1:0] out_118x; // external name: out``next_conf`dcache`fa_cache_config`history(2) output [1:0] out_119x; // external name: out``next_conf`dcache`fa_cache_config`history(1) output [1:0] out_120x; // external name: out``next_conf`dcache`fa_cache_config`history(0) output [3:0] out_121x; // external name: out``next_conf`dcache`fa_cache_config`way_reg output [1:0] out_122x; // external name: out``next_conf`icache`sa_cache_config`hist_reg output [1:0] out_123x; // external name: out``next_conf`icache`sa_cache_config`way_reg output [6:0] out_124x; // external name: out``next_conf`icache`sa_cache_config`adr_reg output out_125x; // external name: out``next_conf`icache`fa_cache_config`dir(1)`valid output [26:0] out_126x; // external name: out``next_conf`icache`fa_cache_config`dir(1)`tag output out_127x; // external name: out``next_conf`icache`fa_cache_config`dir(1)`dirty output out_128x; // external name: out``next_conf`icache`fa_cache_config`dir(0)`valid output [26:0] out_129x; // external name: out``next_conf`icache`fa_cache_config`dir(0)`tag output out_130x; // external name: out``next_conf`icache`fa_cache_config`dir(0)`dirty output [1:0] out_131x; // external name: out``next_conf`icache`fa_cache_config`history output [1:0] out_132x; // external name: out``next_conf`icache`fa_cache_config`way_reg output [63:0] out_133x; // external name: out``next_conf`memory_dout output out_134x; // external name: out``next_conf`brdy output out_135x; // external name: out``next_conf`reqp output [63:0] out_136x; // external name: out``output`data`din output out_137x; // external name: out``output`data`busy output [63:0] out_138x; // external name: out``output`inst`din output out_139x; // external name: out``output`inst`busy output [28:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x; // connection to TOP-Level Modul: output [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x; // connection to TOP-Level Modul: output [7:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x; // connection to TOP-Level Modul: input [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x; // connection to TOP-Level Modul: wire wire0x; wire wire1x; wire wire2x; wire wire3x; wire wire4x; wire wire5x; wire wire6x; wire wire7x; wire wire8x; wire wire9x; wire wire10x; wire wire11x; wire wire12x; wire wire13x; wire wire14x; wire wire15x; wire wire16x; wire wire17x; wire wire18x; wire wire19x; wire wire20x; wire wire21x; wire wire22x; wire wire23x; wire wire24x; wire wire25x; wire wire26x; wire wire27x; wire wire28x; wire wire29x; wire wire30x; wire wire31x; wire wire32x; wire wire33x; wire wire34x; wire wire35x; wire wire36x; wire wire37x; wire wire38x; wire wire39x; wire wire40x; wire wire41x; wire wire42x; wire wire43x; wire wire44x; wire wire45x; wire wire46x; wire wire47x; wire wire48x; wire wire49x; wire wire50x; // external name: moore_out`dcache_input`vw wire wire51x; // external name: moore_out`dcache_input`valid wire wire52x; // external name: moore_out`dcache_input`linv wire wire53x; // external name: moore_out`dcache_input`tw wire wire54x; // external name: moore_out`dcache_input`dw wire wire55x; // external name: moore_out`dcache_input`dirty wire wire56x; // external name: moore_out`icache_input`vw wire wire57x; // external name: moore_out`icache_input`valid wire wire58x; // external name: moore_out`icache_input`linv wire wire59x; // external name: moore_out`icache_input`tw wire wire60x; // external name: moore_out`req wire wire61x; // external name: moore_out`burst wire wire62x; // external name: moore_out`wr wire wire63x; // external name: moore_out`dautomaton_input`snoop wire wire64x; // external name: moore_out`dautomaton_input`snoop_allowed wire wire65x; // external name: moore_out`iautomaton_input`snoop wire wire66x; // external name: moore_out`iautomaton_input`snoop_allowed wire wire67x; // external name: moore_out`dinterface_input`lwrite wire wire68x; // external name: moore_out`dinterface_input`lfill wire wire69x; // external name: moore_out`dinterface_input`snoop_access wire wire70x; // external name: moore_out`dinterface_input`scntclr wire wire71x; // external name: moore_out`dinterface_input`sw wire wire72x; // external name: moore_out`dinterface_input`cache_w wire wire73x; // external name: moore_out`dinterface_input`scntce wire wire74x; // external name: moore_out`iinterface_input`lfill wire wire75x; // external name: moore_out`iinterface_input`snoop_access wire wire76x; // external name: moore_out`iinterface_input`scntclr wire wire77x; // external name: moore_out`iinterface_input`scntce wire wire78x; // external name: moore_out`iinterface_input`sw wire [28:0] wire79x; // external name: dinterface_address wire wire80x; wire wire81x; wire wire82x; wire wire83x; wire wire84x; wire wire85x; wire wire86x; wire wire87x; wire wire88x; wire wire89x; wire wire90x; wire wire91x; wire wire92x; wire wire93x; wire wire94x; wire wire95x; wire wire96x; wire wire97x; wire wire98x; wire wire99x; wire wire100x; wire wire101x; wire wire102x; wire wire103x; wire wire104x; wire wire105x; wire wire106x; wire wire107x; wire wire108x; wire [28:0] wire109x; wire [63:0] wire110x; wire wire111x; wire wire112x; wire [7:0] wire113x; wire [28:0] wire114x; wire wire115x; wire wire116x; wire [63:0] wire117x; wire [28:0] wire118x; wire [7:0] wire119x; wire [28:0] wire120x; wire [7:0] wire121x; wire [63:0] wire122x; wire wire123x; wire wire124x; wire wire125x; wire wire126x; wire wire127x; wire wire128x; wire [28:0] wire129x; wire [63:0] wire130x; wire [28:0] wire131x; // external name: dinterface_in`address wire [7:0] wire132x; // external name: dinterface_in`mwb wire [63:0] wire133x; // external name: dinterface_in`din wire wire134x; // external name: dinterface_in`scntce wire wire135x; // external name: dinterface_in`scntclr wire wire136x; // external name: dinterface_in`cache_w wire wire137x; // external name: dinterface_in`snoop_access wire wire138x; // external name: dinterface_in`sw wire wire139x; // external name: dinterface_in`lfill wire [28:0] wire140x; // external name: dinterface_in`snoop_address wire [63:0] wire141x; // external name: dinterface_in`mdat wire [1:0] wire142x; wire [63:0] wire143x; wire [28:0] wire144x; wire [7:0] wire145x; wire [63:0] wire146x; wire wire147x; wire wire148x; wire wire149x; wire wire150x; wire wire151x; wire wire152x; wire [28:0] wire153x; wire [63:0] wire154x; wire [1:0] wire155x; wire [63:0] wire156x; wire [7:0] wire157x; wire [28:0] wire158x; wire [63:0] wire159x; wire [63:0] wire160x; wire [28:0] wire161x; wire [1:0] wire162x; // external name: dinterface_next`next_conf`scnt wire [63:0] wire163x; // external name: dinterface_next`next_conf`fwd_word wire [7:0] wire164x; // external name: dinterface_next`output`cdwb wire [28:0] wire165x; // external name: dinterface_next`output`address wire [63:0] wire166x; // external name: dinterface_next`output`di wire [63:0] wire167x; // external name: dinterface_next`output`dout wire [28:0] wire168x; // external name: dinterface_next`output`madr wire wire169x; wire wire170x; wire wire171x; wire wire172x; wire wire173x; wire wire174x; wire wire175x; wire wire176x; wire wire177x; wire wire178x; wire wire179x; wire wire180x; wire wire181x; wire wire182x; wire wire183x; wire wire184x; wire wire185x; wire wire186x; wire wire187x; wire wire188x; wire wire189x; wire wire190x; wire wire191x; wire wire192x; wire wire193x; wire wire194x; wire wire195x; wire wire196x; wire wire197x; wire [28:0] wire198x; wire [63:0] wire199x; wire wire200x; wire wire201x; wire [7:0] wire202x; wire [28:0] wire203x; wire wire204x; wire wire205x; wire wire206x; wire wire207x; wire wire208x; wire wire209x; wire wire210x; wire wire211x; wire wire212x; wire wire213x; wire wire214x; wire wire215x; wire wire216x; wire wire217x; wire wire218x; wire wire219x; wire wire220x; wire wire221x; wire wire222x; wire wire223x; wire wire224x; wire wire225x; wire wire226x; wire [7:0] wire227x; wire [28:0] wire228x; wire [63:0] wire229x; wire [63:0] wire230x; wire [28:0] wire231x; wire wire232x; wire [28:0] wire233x; wire [63:0] wire234x; wire wire235x; wire wire236x; wire wire237x; wire wire238x; wire wire239x; wire wire240x; wire [7:0] wire241x; wire wire242x; wire wire243x; wire [28:0] wire244x; // external name: dcache_input`address wire [63:0] wire245x; // external name: dcache_input`di wire wire246x; // external name: dcache_input`valid wire wire247x; // external name: dcache_input`vw wire wire248x; // external name: dcache_input`dirty wire wire249x; // external name: dcache_input`dw wire wire250x; // external name: dcache_input`clear wire wire251x; // external name: dcache_input`tw wire [7:0] wire252x; // external name: dcache_input`cdwb wire wire253x; // external name: dcache_input`linv wire wire254x; // external name: dcache_input`cache_rd wire [1:0] wire255x; wire [1:0] wire256x; wire [1:0] wire257x; wire [1:0] wire258x; wire [3:0] wire259x; wire [6:0] wire260x; wire wire261x; wire [26:0] wire262x; wire wire263x; wire wire264x; wire [26:0] wire265x; wire wire266x; wire wire267x; wire [26:0] wire268x; wire wire269x; wire wire270x; wire [26:0] wire271x; wire wire272x; wire [1:0] wire273x; wire [1:0] wire274x; wire [1:0] wire275x; wire [1:0] wire276x; wire [3:0] wire277x; wire [28:0] wire278x; wire [63:0] wire279x; wire wire280x; wire wire281x; wire wire282x; wire wire283x; wire wire284x; wire wire285x; wire [7:0] wire286x; wire wire287x; wire wire288x; wire [1:0] wire289x; wire [1:0] wire290x; wire [1:0] wire291x; wire [1:0] wire292x; wire [3:0] wire293x; wire [6:0] wire294x; wire wire295x; wire [26:0] wire296x; wire wire297x; wire wire298x; wire [26:0] wire299x; wire wire300x; wire wire301x; wire [26:0] wire302x; wire wire303x; wire wire304x; wire [26:0] wire305x; wire wire306x; wire [1:0] wire307x; wire [1:0] wire308x; wire [1:0] wire309x; wire [1:0] wire310x; wire [3:0] wire311x; wire wire312x; wire wire313x; wire [28:0] wire314x; wire [63:0] wire315x; wire [1:0] wire316x; // external name: dcache_next`config`sa_cache_config`hist_reg(3) wire [1:0] wire317x; // external name: dcache_next`config`sa_cache_config`hist_reg(2) wire [1:0] wire318x; // external name: dcache_next`config`sa_cache_config`hist_reg(1) wire [1:0] wire319x; // external name: dcache_next`config`sa_cache_config`hist_reg(0) wire [3:0] wire320x; // external name: dcache_next`config`sa_cache_config`way_reg wire [6:0] wire321x; // external name: dcache_next`config`sa_cache_config`adr_reg wire wire322x; // external name: dcache_next`config`fa_cache_config`dir(3)`valid wire [26:0] wire323x; // external name: dcache_next`config`fa_cache_config`dir(3)`tag wire wire324x; // external name: dcache_next`config`fa_cache_config`dir(3)`dirty wire wire325x; // external name: dcache_next`config`fa_cache_config`dir(2)`valid wire [26:0] wire326x; // external name: dcache_next`config`fa_cache_config`dir(2)`tag wire wire327x; // external name: dcache_next`config`fa_cache_config`dir(2)`dirty wire wire328x; // external name: dcache_next`config`fa_cache_config`dir(1)`valid wire [26:0] wire329x; // external name: dcache_next`config`fa_cache_config`dir(1)`tag wire wire330x; // external name: dcache_next`config`fa_cache_config`dir(1)`dirty wire wire331x; // external name: dcache_next`config`fa_cache_config`dir(0)`valid wire [26:0] wire332x; // external name: dcache_next`config`fa_cache_config`dir(0)`tag wire wire333x; // external name: dcache_next`config`fa_cache_config`dir(0)`dirty wire [1:0] wire334x; // external name: dcache_next`config`fa_cache_config`history(3) wire [1:0] wire335x; // external name: dcache_next`config`fa_cache_config`history(2) wire [1:0] wire336x; // external name: dcache_next`config`fa_cache_config`history(1) wire [1:0] wire337x; // external name: dcache_next`config`fa_cache_config`history(0) wire [3:0] wire338x; // external name: dcache_next`config`fa_cache_config`way_reg wire wire339x; // external name: dcache_next`output`hit wire wire340x; // external name: dcache_next`output`dirty wire [28:0] wire341x; // external name: dcache_next`output`ev_address wire [63:0] wire342x; // external name: dcache_next`output`dout wire wire343x; wire wire344x; wire wire345x; wire wire346x; wire wire347x; wire wire348x; wire wire349x; wire wire350x; wire wire351x; wire wire352x; wire wire353x; wire wire354x; wire wire355x; wire wire356x; wire wire357x; wire wire358x; wire wire359x; wire wire360x; wire wire361x; wire wire362x; wire wire363x; wire wire364x; wire wire365x; wire wire366x; wire wire367x; wire wire368x; wire wire369x; wire wire370x; wire wire371x; wire [63:0] wire372x; wire [63:0] wire373x; wire [28:0] wire374x; wire [63:0] wire375x; wire wire376x; wire wire377x; wire [7:0] wire378x; wire [28:0] wire379x; wire wire380x; wire wire381x; wire [28:0] wire382x; wire [7:0] wire383x; wire [63:0] wire384x; wire wire385x; wire wire386x; wire wire387x; wire wire388x; wire wire389x; wire wire390x; wire [28:0] wire391x; wire [63:0] wire392x; wire [28:0] wire393x; // external name: iinterface_in`address wire [7:0] wire394x; // external name: iinterface_in`mwb wire [63:0] wire395x; // external name: iinterface_in`din wire wire396x; // external name: iinterface_in`scntce wire wire397x; // external name: iinterface_in`scntclr wire wire398x; // external name: iinterface_in`cache_w wire wire399x; // external name: iinterface_in`snoop_access wire wire400x; // external name: iinterface_in`sw wire wire401x; // external name: iinterface_in`lfill wire [28:0] wire402x; // external name: iinterface_in`snoop_address wire [63:0] wire403x; // external name: iinterface_in`mdat wire [1:0] wire404x; wire [63:0] wire405x; wire [28:0] wire406x; wire [7:0] wire407x; wire [63:0] wire408x; wire wire409x; wire wire410x; wire wire411x; wire wire412x; wire wire413x; wire wire414x; wire [28:0] wire415x; wire [63:0] wire416x; wire [1:0] wire417x; wire [63:0] wire418x; wire [7:0] wire419x; wire [28:0] wire420x; wire [63:0] wire421x; wire [63:0] wire422x; wire [28:0] wire423x; wire [1:0] wire424x; // external name: iinterface_next`next_conf`scnt wire [63:0] wire425x; // external name: iinterface_next`next_conf`fwd_word wire [7:0] wire426x; // external name: iinterface_next`output`cdwb wire [28:0] wire427x; // external name: iinterface_next`output`address wire [63:0] wire428x; // external name: iinterface_next`output`di wire [63:0] wire429x; // external name: iinterface_next`output`dout wire [28:0] wire430x; // external name: iinterface_next`output`madr wire wire431x; wire wire432x; wire wire433x; wire wire434x; wire wire435x; wire wire436x; wire wire437x; wire wire438x; wire wire439x; wire wire440x; wire wire441x; wire wire442x; wire wire443x; wire wire444x; wire wire445x; wire wire446x; wire wire447x; wire wire448x; wire wire449x; wire wire450x; wire wire451x; wire wire452x; wire wire453x; wire wire454x; wire wire455x; wire wire456x; wire wire457x; wire wire458x; wire wire459x; wire [28:0] wire460x; wire [63:0] wire461x; wire wire462x; wire wire463x; wire [7:0] wire464x; wire [28:0] wire465x; wire wire466x; wire wire467x; wire wire468x; wire wire469x; wire wire470x; wire wire471x; wire wire472x; wire wire473x; wire wire474x; wire wire475x; wire wire476x; wire wire477x; wire wire478x; wire wire479x; wire wire480x; wire wire481x; wire wire482x; wire wire483x; wire wire484x; wire wire485x; wire wire486x; wire wire487x; wire wire488x; wire [7:0] wire489x; wire [28:0] wire490x; wire [63:0] wire491x; wire [63:0] wire492x; wire [28:0] wire493x; wire wire494x; wire [28:0] wire495x; wire [63:0] wire496x; wire wire497x; wire wire498x; wire wire499x; wire wire500x; wire wire501x; wire wire502x; wire [7:0] wire503x; wire wire504x; wire wire505x; wire [28:0] wire506x; // external name: icache_input`address wire [63:0] wire507x; // external name: icache_input`di wire wire508x; // external name: icache_input`valid wire wire509x; // external name: icache_input`vw wire wire510x; // external name: icache_input`dirty wire wire511x; // external name: icache_input`dw wire wire512x; // external name: icache_input`clear wire wire513x; // external name: icache_input`tw wire [7:0] wire514x; // external name: icache_input`cdwb wire wire515x; // external name: icache_input`linv wire wire516x; // external name: icache_input`cache_rd wire [1:0] wire517x; wire [1:0] wire518x; wire [6:0] wire519x; wire wire520x; wire [26:0] wire521x; wire wire522x; wire wire523x; wire [26:0] wire524x; wire wire525x; wire [1:0] wire526x; wire [1:0] wire527x; wire [28:0] wire528x; wire [63:0] wire529x; wire wire530x; wire wire531x; wire wire532x; wire wire533x; wire wire534x; wire wire535x; wire [7:0] wire536x; wire wire537x; wire wire538x; wire [1:0] wire539x; wire [1:0] wire540x; wire [6:0] wire541x; wire wire542x; wire [26:0] wire543x; wire wire544x; wire wire545x; wire [26:0] wire546x; wire wire547x; wire [1:0] wire548x; wire [1:0] wire549x; wire wire550x; wire wire551x; wire [28:0] wire552x; wire [63:0] wire553x; wire [1:0] wire554x; // external name: icache_next`config`sa_cache_config`hist_reg wire [1:0] wire555x; // external name: icache_next`config`sa_cache_config`way_reg wire [6:0] wire556x; // external name: icache_next`config`sa_cache_config`adr_reg wire wire557x; // external name: icache_next`config`fa_cache_config`dir(1)`valid wire [26:0] wire558x; // external name: icache_next`config`fa_cache_config`dir(1)`tag wire wire559x; // external name: icache_next`config`fa_cache_config`dir(1)`dirty wire wire560x; // external name: icache_next`config`fa_cache_config`dir(0)`valid wire [26:0] wire561x; // external name: icache_next`config`fa_cache_config`dir(0)`tag wire wire562x; // external name: icache_next`config`fa_cache_config`dir(0)`dirty wire [1:0] wire563x; // external name: icache_next`config`fa_cache_config`history wire [1:0] wire564x; // external name: icache_next`config`fa_cache_config`way_reg wire wire565x; // external name: icache_next`output`hit wire wire566x; // external name: icache_next`output`dirty wire [28:0] wire567x; // external name: icache_next`output`ev_address wire [63:0] wire568x; // external name: icache_next`output`dout wire [28:0] wire569x; wire [63:0] wire570x; wire wire571x; wire wire572x; wire [7:0] wire573x; wire [28:0] wire574x; wire wire575x; wire wire576x; wire wire577x; wire wire578x; wire wire579x; wire wire580x; wire [28:0] wire581x; wire [63:0] wire582x; wire wire583x; wire wire584x; wire [28:0] wire585x; wire [63:0] wire586x; wire wire587x; wire wire588x; wire wire589x; wire wire590x; wire wire591x; wire wire592x; wire wire593x; wire wire594x; wire wire595x; wire wire596x; // external name: automaton_in`dhit wire wire597x; // external name: automaton_in`ddirty wire wire598x; // external name: automaton_in`ihit wire wire599x; // external name: automaton_in`imr wire wire600x; // external name: automaton_in`dmw wire wire601x; // external name: automaton_in`dmr wire wire602x; // external name: automaton_in`clear wire wire603x; // external name: automaton_in`brdy wire wire604x; // external name: automaton_in`reqp wire wire605x; wire wire606x; wire wire607x; wire wire608x; wire wire609x; wire wire610x; wire wire611x; wire wire612x; wire wire613x; wire wire614x; wire wire615x; wire wire616x; wire wire617x; wire wire618x; wire wire619x; wire wire620x; wire wire621x; wire wire622x; wire wire623x; wire wire624x; wire wire625x; wire wire626x; wire wire627x; wire wire628x; wire wire629x; wire wire630x; wire wire631x; wire wire632x; wire wire633x; wire wire634x; wire wire635x; wire wire636x; wire wire637x; wire wire638x; wire wire639x; wire wire640x; wire wire641x; wire wire642x; wire wire643x; wire wire644x; wire wire645x; wire wire646x; wire wire647x; wire wire648x; wire wire649x; wire wire650x; wire wire651x; wire wire652x; wire wire653x; wire wire654x; wire wire655x; wire wire656x; wire wire657x; wire wire658x; wire wire659x; wire wire660x; wire wire661x; wire wire662x; wire wire663x; wire wire664x; wire wire665x; wire wire666x; wire wire667x; wire wire668x; wire wire669x; wire wire670x; wire wire671x; wire wire672x; wire wire673x; wire wire674x; wire wire675x; wire wire676x; wire wire677x; wire wire678x; wire wire679x; wire wire680x; wire wire681x; wire wire682x; wire wire683x; wire wire684x; wire wire685x; // external name: automaton_next`next_conf`istate`cache_rd wire wire686x; // external name: automaton_next`next_conf`istate`fill_request wire wire687x; // external name: automaton_next`next_conf`istate`wait wire wire688x; // external name: automaton_next`next_conf`istate`line_fill wire wire689x; // external name: automaton_next`next_conf`istate`last_fill wire wire690x; // external name: automaton_next`next_conf`istate`wait4dinit wire wire691x; // external name: automaton_next`next_conf`istate`linv wire wire692x; // external name: automaton_next`next_conf`istate`linv2 wire wire693x; // external name: automaton_next`next_conf`dstate`cache_rd wire wire694x; // external name: automaton_next`next_conf`dstate`fill_request wire wire695x; // external name: automaton_next`next_conf`dstate`wait wire wire696x; // external name: automaton_next`next_conf`dstate`line_fill wire wire697x; // external name: automaton_next`next_conf`dstate`last_fill wire wire698x; // external name: automaton_next`next_conf`dstate`cache_write wire wire699x; // external name: automaton_next`next_conf`dstate`write_request wire wire700x; // external name: automaton_next`next_conf`dstate`wait_write wire wire701x; // external name: automaton_next`next_conf`dstate`line_write wire wire702x; // external name: automaton_next`next_conf`dstate`last_write wire wire703x; // external name: automaton_next`next_conf`dstate`wait4snoop wire wire704x; // external name: automaton_next`next_conf`dstate`wait4mem wire wire705x; // external name: automaton_next`next_conf`dstate`line_invalidate wire wire706x; // external name: automaton_next`output`dcache_input`vw wire wire707x; // external name: automaton_next`output`dcache_input`valid wire wire708x; // external name: automaton_next`output`dcache_input`linv wire wire709x; // external name: automaton_next`output`dcache_input`tw wire wire710x; // external name: automaton_next`output`dcache_input`dw wire wire711x; // external name: automaton_next`output`dcache_input`dirty wire wire712x; // external name: automaton_next`output`dcache_input`cache_rd wire wire713x; // external name: automaton_next`output`icache_input`vw wire wire714x; // external name: automaton_next`output`icache_input`valid wire wire715x; // external name: automaton_next`output`icache_input`linv wire wire716x; // external name: automaton_next`output`icache_input`tw wire wire717x; // external name: automaton_next`output`icache_input`cache_rd wire wire718x; // external name: automaton_next`output`req wire wire719x; // external name: automaton_next`output`burst wire wire720x; // external name: automaton_next`output`wr wire wire721x; // external name: automaton_next`output`ibusy wire wire722x; // external name: automaton_next`output`dbusy wire wire723x; // external name: automaton_next`output`dinterface_input`lwrite wire wire724x; // external name: automaton_next`output`dinterface_input`lfill wire wire725x; // external name: automaton_next`output`dinterface_input`snoop_access wire wire726x; // external name: automaton_next`output`dinterface_input`scntclr wire wire727x; // external name: automaton_next`output`dinterface_input`sw wire wire728x; // external name: automaton_next`output`dinterface_input`cache_w wire wire729x; // external name: automaton_next`output`dinterface_input`scntce wire wire730x; // external name: automaton_next`output`iinterface_input`lfill wire wire731x; // external name: automaton_next`output`iinterface_input`snoop_access wire wire732x; // external name: automaton_next`output`iinterface_input`scntclr wire wire733x; // external name: automaton_next`output`iinterface_input`scntce wire wire734x; // external name: automaton_next`output`iinterface_input`sw wire wire735x; wire wire736x; wire wire737x; wire wire738x; wire wire739x; wire wire740x; wire wire741x; wire wire742x; wire wire743x; wire wire744x; wire wire745x; wire wire746x; wire wire747x; wire wire748x; wire wire749x; wire wire750x; wire wire751x; wire wire752x; wire wire753x; wire wire754x; wire wire755x; wire wire756x; wire wire757x; wire wire758x; wire wire759x; wire wire760x; wire wire761x; wire wire762x; wire wire763x; wire [7:0] wire764x; wire [28:0] wire765x; wire [63:0] wire766x; wire [63:0] wire767x; wire [28:0] wire768x; wire [7:0] wire769x; wire [28:0] wire770x; wire [63:0] wire771x; wire [63:0] wire772x; wire [28:0] wire773x; wire [63:0] wire774x; wire [28:0] wire775x; wire [63:0] wire776x; wire wire777x; wire wire778x; wire wire779x; wire [7:0] wire780x; wire [28:0] wire781x; // external name: memory_input`address wire [63:0] wire782x; // external name: memory_input`din wire wire783x; // external name: memory_input`req wire wire784x; // external name: memory_input`wr wire wire785x; // external name: memory_input`burst wire [7:0] wire786x; // external name: memory_input`byte_enable wire [28:0] wire787x; wire [63:0] wire788x; wire wire789x; wire wire790x; wire wire791x; wire [7:0] wire792x; wire [63:0] wire793x; wire wire794x; wire wire795x; wire [63:0] wire796x; // external name: memory_out`dout wire wire797x; // external name: memory_out`reqp wire wire798x; // external name: memory_out`brdy wire wire799x; wire wire800x; wire wire801x; wire wire802x; wire wire803x; wire wire804x; wire wire805x; wire wire806x; wire wire807x; wire wire808x; wire wire809x; wire wire810x; wire wire811x; wire wire812x; wire wire813x; wire wire814x; wire wire815x; wire wire816x; wire wire817x; wire wire818x; wire wire819x; wire wire820x; wire wire821x; wire wire822x; wire wire823x; wire wire824x; wire wire825x; wire wire826x; wire wire827x; wire [63:0] wire828x; wire [63:0] wire829x; wire [7:0] wire830x; wire [28:0] wire831x; wire [63:0] wire832x; wire [63:0] wire833x; wire [28:0] wire834x; wire [7:0] wire835x; wire [28:0] wire836x; wire [63:0] wire837x; wire [63:0] wire838x; wire [28:0] wire839x; wire [63:0] wire840x; wire wire841x; wire [63:0] wire842x; wire wire843x; assign wire0x = a_0x; assign wire1x = a_1x; assign wire2x = a_2x; assign wire3x = a_3x; assign wire4x = a_4x; assign wire5x = a_5x; assign wire6x = a_6x; assign wire7x = a_7x; assign wire8x = a_8x; assign wire9x = a_9x; assign wire10x = a_10x; assign wire11x = a_11x; assign wire12x = a_12x; assign wire13x = a_13x; assign wire14x = a_14x; assign wire15x = a_15x; assign wire16x = a_16x; assign wire17x = a_17x; assign wire18x = a_18x; assign wire19x = a_19x; assign wire20x = a_20x; assign wire50x = wire21x; assign wire51x = wire22x; assign wire52x = wire23x; assign wire53x = wire24x; assign wire54x = wire25x; assign wire55x = wire26x; assign wire56x = wire27x; assign wire57x = wire28x; assign wire58x = wire29x; assign wire59x = wire30x; assign wire60x = wire31x; assign wire61x = wire32x; assign wire62x = wire33x; assign wire63x = wire34x; assign wire64x = wire35x; assign wire65x = wire36x; assign wire66x = wire37x; assign wire67x = wire38x; assign wire68x = wire39x; assign wire69x = wire40x; assign wire70x = wire41x; assign wire71x = wire42x; assign wire72x = wire43x; assign wire73x = wire44x; assign wire74x = wire45x; assign wire75x = wire46x; assign wire76x = wire47x; assign wire77x = wire48x; assign wire78x = wire49x; assign wire79x = (wire67x) ? (a_25x) : (a_64x); assign wire80x = wire50x; assign wire81x = wire51x; assign wire82x = wire52x; assign wire83x = wire53x; assign wire84x = wire54x; assign wire85x = wire55x; assign wire86x = wire56x; assign wire87x = wire57x; assign wire88x = wire58x; assign wire89x = wire59x; assign wire90x = wire60x; assign wire91x = wire61x; assign wire92x = wire62x; assign wire93x = wire63x; assign wire94x = wire64x; assign wire95x = wire65x; assign wire96x = wire66x; assign wire97x = wire67x; assign wire98x = wire68x; assign wire99x = wire69x; assign wire100x = wire70x; assign wire101x = wire71x; assign wire102x = wire72x; assign wire103x = wire73x; assign wire104x = wire74x; assign wire105x = wire75x; assign wire106x = wire76x; assign wire107x = wire77x; assign wire108x = wire78x; assign wire109x = a_64x; assign wire110x = a_65x; assign wire111x = a_66x; assign wire112x = a_67x; assign wire113x = a_68x; assign wire114x = a_69x; assign wire115x = a_70x; assign wire116x = a_71x; assign wire117x = a_61x; assign wire118x = wire79x; assign wire119x = a_26x; assign wire131x = wire120x; assign wire132x = wire121x; assign wire133x = wire122x; assign wire134x = wire123x; assign wire135x = wire124x; assign wire136x = wire125x; assign wire137x = wire126x; assign wire138x = wire127x; assign wire139x = wire128x; assign wire140x = wire129x; assign wire141x = wire130x; assign wire142x = a_23x; assign wire143x = a_24x; assign wire144x = wire131x; assign wire145x = wire132x; assign wire146x = wire133x; assign wire147x = wire134x; assign wire148x = wire135x; assign wire149x = wire136x; assign wire150x = wire137x; assign wire151x = wire138x; assign wire152x = wire139x; assign wire153x = wire140x; assign wire154x = wire141x; assign wire162x = wire155x; assign wire163x = wire156x; assign wire164x = wire157x; assign wire165x = wire158x; assign wire166x = wire159x; assign wire167x = wire160x; assign wire168x = wire161x; assign wire169x = wire50x; assign wire170x = wire51x; assign wire171x = wire52x; assign wire172x = wire53x; assign wire173x = wire54x; assign wire174x = wire55x; assign wire175x = wire56x; assign wire176x = wire57x; assign wire177x = wire58x; assign wire178x = wire59x; assign wire179x = wire60x; assign wire180x = wire61x; assign wire181x = wire62x; assign wire182x = wire63x; assign wire183x = wire64x; assign wire184x = wire65x; assign wire185x = wire66x; assign wire186x = wire67x; assign wire187x = wire68x; assign wire188x = wire69x; assign wire189x = wire70x; assign wire190x = wire71x; assign wire191x = wire72x; assign wire192x = wire73x; assign wire193x = wire74x; assign wire194x = wire75x; assign wire195x = wire76x; assign wire196x = wire77x; assign wire197x = wire78x; assign wire198x = a_64x; assign wire199x = a_65x; assign wire200x = a_66x; assign wire201x = a_67x; assign wire202x = a_68x; assign wire203x = a_69x; assign wire204x = a_70x; assign wire205x = a_71x; assign wire206x = a_0x; assign wire207x = a_1x; assign wire208x = a_2x; assign wire209x = a_3x; assign wire210x = a_4x; assign wire211x = a_5x; assign wire212x = a_6x; assign wire213x = a_7x; assign wire214x = a_8x; assign wire215x = a_9x; assign wire216x = a_10x; assign wire217x = a_11x; assign wire218x = a_12x; assign wire219x = a_13x; assign wire220x = a_14x; assign wire221x = a_15x; assign wire222x = a_16x; assign wire223x = a_17x; assign wire224x = a_18x; assign wire225x = a_19x; assign wire226x = a_20x; assign wire227x = wire164x; assign wire228x = wire165x; assign wire229x = wire166x; assign wire230x = wire167x; assign wire231x = wire168x; assign wire232x = a_71x; assign wire244x = wire233x; assign wire245x = wire234x; assign wire246x = wire235x; assign wire247x = wire236x; assign wire248x = wire237x; assign wire249x = wire238x; assign wire250x = wire239x; assign wire251x = wire240x; assign wire252x = wire241x; assign wire253x = wire242x; assign wire254x = wire243x; assign wire255x = a_27x; assign wire256x = a_28x; assign wire257x = a_29x; assign wire258x = a_30x; assign wire259x = a_31x; assign wire260x = a_32x; assign wire261x = a_33x; assign wire262x = a_34x; assign wire263x = a_35x; assign wire264x = a_36x; assign wire265x = a_37x; assign wire266x = a_38x; assign wire267x = a_39x; assign wire268x = a_40x; assign wire269x = a_41x; assign wire270x = a_42x; assign wire271x = a_43x; assign wire272x = a_44x; assign wire273x = a_45x; assign wire274x = a_46x; assign wire275x = a_47x; assign wire276x = a_48x; assign wire277x = a_49x; assign wire278x = wire244x; assign wire279x = wire245x; assign wire280x = wire246x; assign wire281x = wire247x; assign wire282x = wire248x; assign wire283x = wire249x; assign wire284x = wire250x; assign wire285x = wire251x; assign wire286x = wire252x; assign wire287x = wire253x; assign wire288x = wire254x; assign wire316x = wire289x; assign wire317x = wire290x; assign wire318x = wire291x; assign wire319x = wire292x; assign wire320x = wire293x; assign wire321x = wire294x; assign wire322x = wire295x; assign wire323x = wire296x; assign wire324x = wire297x; assign wire325x = wire298x; assign wire326x = wire299x; assign wire327x = wire300x; assign wire328x = wire301x; assign wire329x = wire302x; assign wire330x = wire303x; assign wire331x = wire304x; assign wire332x = wire305x; assign wire333x = wire306x; assign wire334x = wire307x; assign wire335x = wire308x; assign wire336x = wire309x; assign wire337x = wire310x; assign wire338x = wire311x; assign wire339x = wire312x; assign wire340x = wire313x; assign wire341x = wire314x; assign wire342x = wire315x; assign wire343x = wire50x; assign wire344x = wire51x; assign wire345x = wire52x; assign wire346x = wire53x; assign wire347x = wire54x; assign wire348x = wire55x; assign wire349x = wire56x; assign wire350x = wire57x; assign wire351x = wire58x; assign wire352x = wire59x; assign wire353x = wire60x; assign wire354x = wire61x; assign wire355x = wire62x; assign wire356x = wire63x; assign wire357x = wire64x; assign wire358x = wire65x; assign wire359x = wire66x; assign wire360x = wire67x; assign wire361x = wire68x; assign wire362x = wire69x; assign wire363x = wire70x; assign wire364x = wire71x; assign wire365x = wire72x; assign wire366x = wire73x; assign wire367x = wire74x; assign wire368x = wire75x; assign wire369x = wire76x; assign wire370x = wire77x; assign wire371x = wire78x; assign wire372x = a_61x; assign wire373x = wire342x; assign wire374x = a_64x; assign wire375x = a_65x; assign wire376x = a_66x; assign wire377x = a_67x; assign wire378x = a_68x; assign wire379x = a_69x; assign wire380x = a_70x; assign wire381x = a_71x; assign wire393x = wire382x; assign wire394x = wire383x; assign wire395x = wire384x; assign wire396x = wire385x; assign wire397x = wire386x; assign wire398x = wire387x; assign wire399x = wire388x; assign wire400x = wire389x; assign wire401x = wire390x; assign wire402x = wire391x; assign wire403x = wire392x; assign wire404x = a_21x; assign wire405x = a_22x; assign wire406x = wire393x; assign wire407x = wire394x; assign wire408x = wire395x; assign wire409x = wire396x; assign wire410x = wire397x; assign wire411x = wire398x; assign wire412x = wire399x; assign wire413x = wire400x; assign wire414x = wire401x; assign wire415x = wire402x; assign wire416x = wire403x; assign wire424x = wire417x; assign wire425x = wire418x; assign wire426x = wire419x; assign wire427x = wire420x; assign wire428x = wire421x; assign wire429x = wire422x; assign wire430x = wire423x; assign wire431x = wire50x; assign wire432x = wire51x; assign wire433x = wire52x; assign wire434x = wire53x; assign wire435x = wire54x; assign wire436x = wire55x; assign wire437x = wire56x; assign wire438x = wire57x; assign wire439x = wire58x; assign wire440x = wire59x; assign wire441x = wire60x; assign wire442x = wire61x; assign wire443x = wire62x; assign wire444x = wire63x; assign wire445x = wire64x; assign wire446x = wire65x; assign wire447x = wire66x; assign wire448x = wire67x; assign wire449x = wire68x; assign wire450x = wire69x; assign wire451x = wire70x; assign wire452x = wire71x; assign wire453x = wire72x; assign wire454x = wire73x; assign wire455x = wire74x; assign wire456x = wire75x; assign wire457x = wire76x; assign wire458x = wire77x; assign wire459x = wire78x; assign wire460x = a_64x; assign wire461x = a_65x; assign wire462x = a_66x; assign wire463x = a_67x; assign wire464x = a_68x; assign wire465x = a_69x; assign wire466x = a_70x; assign wire467x = a_71x; assign wire468x = a_0x; assign wire469x = a_1x; assign wire470x = a_2x; assign wire471x = a_3x; assign wire472x = a_4x; assign wire473x = a_5x; assign wire474x = a_6x; assign wire475x = a_7x; assign wire476x = a_8x; assign wire477x = a_9x; assign wire478x = a_10x; assign wire479x = a_11x; assign wire480x = a_12x; assign wire481x = a_13x; assign wire482x = a_14x; assign wire483x = a_15x; assign wire484x = a_16x; assign wire485x = a_17x; assign wire486x = a_18x; assign wire487x = a_19x; assign wire488x = a_20x; assign wire489x = wire426x; assign wire490x = wire427x; assign wire491x = wire428x; assign wire492x = wire429x; assign wire493x = wire430x; assign wire494x = a_71x; assign wire506x = wire495x; assign wire507x = wire496x; assign wire508x = wire497x; assign wire509x = wire498x; assign wire510x = wire499x; assign wire511x = wire500x; assign wire512x = wire501x; assign wire513x = wire502x; assign wire514x = wire503x; assign wire515x = wire504x; assign wire516x = wire505x; assign wire517x = a_50x; assign wire518x = a_51x; assign wire519x = a_52x; assign wire520x = a_53x; assign wire521x = a_54x; assign wire522x = a_55x; assign wire523x = a_56x; assign wire524x = a_57x; assign wire525x = a_58x; assign wire526x = a_59x; assign wire527x = a_60x; assign wire528x = wire506x; assign wire529x = wire507x; assign wire530x = wire508x; assign wire531x = wire509x; assign wire532x = wire510x; assign wire533x = wire511x; assign wire534x = wire512x; assign wire535x = wire513x; assign wire536x = wire514x; assign wire537x = wire515x; assign wire538x = wire516x; assign wire554x = wire539x; assign wire555x = wire540x; assign wire556x = wire541x; assign wire557x = wire542x; assign wire558x = wire543x; assign wire559x = wire544x; assign wire560x = wire545x; assign wire561x = wire546x; assign wire562x = wire547x; assign wire563x = wire548x; assign wire564x = wire549x; assign wire565x = wire550x; assign wire566x = wire551x; assign wire567x = wire552x; assign wire568x = wire553x; assign wire569x = a_64x; assign wire570x = a_65x; assign wire571x = a_66x; assign wire572x = a_67x; assign wire573x = a_68x; assign wire574x = a_69x; assign wire575x = a_70x; assign wire576x = a_71x; assign wire577x = a_62x; assign wire578x = a_63x; assign wire579x = wire339x; assign wire580x = wire340x; assign wire581x = wire341x; assign wire582x = wire342x; assign wire583x = wire565x; assign wire584x = wire566x; assign wire585x = wire567x; assign wire586x = wire568x; assign wire596x = wire587x; assign wire597x = wire588x; assign wire598x = wire589x; assign wire599x = wire590x; assign wire600x = wire591x; assign wire601x = wire592x; assign wire602x = wire593x; assign wire603x = wire594x; assign wire604x = wire595x; assign wire605x = a_0x; assign wire606x = a_1x; assign wire607x = a_2x; assign wire608x = a_3x; assign wire609x = a_4x; assign wire610x = a_5x; assign wire611x = a_6x; assign wire612x = a_7x; assign wire613x = a_8x; assign wire614x = a_9x; assign wire615x = a_10x; assign wire616x = a_11x; assign wire617x = a_12x; assign wire618x = a_13x; assign wire619x = a_14x; assign wire620x = a_15x; assign wire621x = a_16x; assign wire622x = a_17x; assign wire623x = a_18x; assign wire624x = a_19x; assign wire625x = a_20x; assign wire626x = wire596x; assign wire627x = wire597x; assign wire628x = wire598x; assign wire629x = wire599x; assign wire630x = wire600x; assign wire631x = wire601x; assign wire632x = wire602x; assign wire633x = wire603x; assign wire634x = wire604x; assign wire685x = wire635x; assign wire686x = wire636x; assign wire687x = wire637x; assign wire688x = wire638x; assign wire689x = wire639x; assign wire690x = wire640x; assign wire691x = wire641x; assign wire692x = wire642x; assign wire693x = wire643x; assign wire694x = wire644x; assign wire695x = wire645x; assign wire696x = wire646x; assign wire697x = wire647x; assign wire698x = wire648x; assign wire699x = wire649x; assign wire700x = wire650x; assign wire701x = wire651x; assign wire702x = wire652x; assign wire703x = wire653x; assign wire704x = wire654x; assign wire705x = wire655x; assign wire706x = wire656x; assign wire707x = wire657x; assign wire708x = wire658x; assign wire709x = wire659x; assign wire710x = wire660x; assign wire711x = wire661x; assign wire712x = wire662x; assign wire713x = wire663x; assign wire714x = wire664x; assign wire715x = wire665x; assign wire716x = wire666x; assign wire717x = wire667x; assign wire718x = wire668x; assign wire719x = wire669x; assign wire720x = wire670x; assign wire721x = wire671x; assign wire722x = wire672x; assign wire723x = wire673x; assign wire724x = wire674x; assign wire725x = wire675x; assign wire726x = wire676x; assign wire727x = wire677x; assign wire728x = wire678x; assign wire729x = wire679x; assign wire730x = wire680x; assign wire731x = wire681x; assign wire732x = wire682x; assign wire733x = wire683x; assign wire734x = wire684x; assign wire735x = wire50x; assign wire736x = wire51x; assign wire737x = wire52x; assign wire738x = wire53x; assign wire739x = wire54x; assign wire740x = wire55x; assign wire741x = wire56x; assign wire742x = wire57x; assign wire743x = wire58x; assign wire744x = wire59x; assign wire745x = wire60x; assign wire746x = wire61x; assign wire747x = wire62x; assign wire748x = wire63x; assign wire749x = wire64x; assign wire750x = wire65x; assign wire751x = wire66x; assign wire752x = wire67x; assign wire753x = wire68x; assign wire754x = wire69x; assign wire755x = wire70x; assign wire756x = wire71x; assign wire757x = wire72x; assign wire758x = wire73x; assign wire759x = wire74x; assign wire760x = wire75x; assign wire761x = wire76x; assign wire762x = wire77x; assign wire763x = wire78x; assign wire764x = wire426x; assign wire765x = wire427x; assign wire766x = wire428x; assign wire767x = wire429x; assign wire768x = wire430x; assign wire769x = wire164x; assign wire770x = wire165x; assign wire771x = wire166x; assign wire772x = wire167x; assign wire773x = wire168x; assign wire774x = wire342x; assign wire781x = wire775x; assign wire782x = wire776x; assign wire783x = wire777x; assign wire784x = wire778x; assign wire785x = wire779x; assign wire786x = wire780x; assign wire787x = wire781x; assign wire788x = wire782x; assign wire789x = wire783x; assign wire790x = wire784x; assign wire791x = wire785x; assign wire792x = wire786x; assign wire796x = wire793x; assign wire797x = wire794x; assign wire798x = wire795x; assign wire799x = wire706x; assign wire800x = wire707x; assign wire801x = wire708x; assign wire802x = wire709x; assign wire803x = wire710x; assign wire804x = wire711x; assign wire805x = wire712x; assign wire806x = wire713x; assign wire807x = wire714x; assign wire808x = wire715x; assign wire809x = wire716x; assign wire810x = wire717x; assign wire811x = wire718x; assign wire812x = wire719x; assign wire813x = wire720x; assign wire814x = wire721x; assign wire815x = wire722x; assign wire816x = wire723x; assign wire817x = wire724x; assign wire818x = wire725x; assign wire819x = wire726x; assign wire820x = wire727x; assign wire821x = wire728x; assign wire822x = wire729x; assign wire823x = wire730x; assign wire824x = wire731x; assign wire825x = wire732x; assign wire826x = wire733x; assign wire827x = wire734x; assign wire828x = wire342x; assign wire829x = wire568x; assign wire830x = wire164x; assign wire831x = wire165x; assign wire832x = wire166x; assign wire833x = wire167x; assign wire834x = wire168x; assign wire835x = wire426x; assign wire836x = wire427x; assign wire837x = wire428x; assign wire838x = wire429x; assign wire839x = wire430x; assign out_72x = wire685x; assign out_73x = wire686x; assign out_74x = wire687x; assign out_75x = wire688x; assign out_76x = wire689x; assign out_77x = wire690x; assign out_78x = wire691x; assign out_79x = wire692x; assign out_80x = wire693x; assign out_81x = wire694x; assign out_82x = wire695x; assign out_83x = wire696x; assign out_84x = wire697x; assign out_85x = wire698x; assign out_86x = wire699x; assign out_87x = wire700x; assign out_88x = wire701x; assign out_89x = wire702x; assign out_90x = wire703x; assign out_91x = wire704x; assign out_92x = wire705x; assign out_93x = wire424x; assign out_94x = wire425x; assign out_95x = wire162x; assign out_96x = wire163x; assign out_122x = wire554x; assign out_123x = wire555x; assign out_124x = wire556x; assign out_125x = wire557x; assign out_126x = wire558x; assign out_127x = wire559x; assign out_128x = wire560x; assign out_129x = wire561x; assign out_130x = wire562x; assign out_131x = wire563x; assign out_132x = wire564x; assign out_99x = wire316x; assign out_100x = wire317x; assign out_101x = wire318x; assign out_102x = wire319x; assign out_103x = wire320x; assign out_104x = wire321x; assign out_105x = wire322x; assign out_106x = wire323x; assign out_107x = wire324x; assign out_108x = wire325x; assign out_109x = wire326x; assign out_110x = wire327x; assign out_111x = wire328x; assign out_112x = wire329x; assign out_113x = wire330x; assign out_114x = wire331x; assign out_115x = wire332x; assign out_116x = wire333x; assign out_117x = wire334x; assign out_118x = wire335x; assign out_119x = wire336x; assign out_120x = wire337x; assign out_121x = wire338x; assign out_97x = (wire254x) ? ((wire69x) ? (a_69x) : (wire341x)) : (a_25x); assign out_98x = (wire254x) ? (a_68x) : (a_26x); assign out_134x = wire798x; assign out_135x = (wire783x | wire797x); assign out_133x = wire796x; assign out_136x = wire840x; assign out_137x = wire841x; assign out_138x = wire842x; assign out_139x = wire843x; pipe_moore_out_implx m0 (clk,wire0x, wire1x, wire2x, wire3x, wire4x, wire5x, wire6x, wire7x, wire8x, wire9x, wire10x, wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x, wire27x, wire28x, wire29x, wire30x, wire31x, wire32x, wire33x, wire34x, wire35x, wire36x, wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x, wire49x); dcache_interface_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m1 (clk,wire80x, wire81x, wire82x, wire83x, wire84x, wire85x, wire86x, wire87x, wire88x, wire89x, wire90x, wire91x, wire92x, wire93x, wire94x, wire95x, wire96x, wire97x, wire98x, wire99x, wire100x, wire101x, wire102x, wire103x, wire104x, wire105x, wire106x, wire107x, wire108x, wire109x, wire110x, wire111x, wire112x, wire113x, wire114x, wire115x, wire116x, wire117x, wire118x, wire119x, wire120x, wire121x, wire122x, wire123x, wire124x, wire125x, wire126x, wire127x, wire128x, wire129x, wire130x); interface_next_confx_29_2_3 m2 (clk,wire142x, wire143x, wire144x, wire145x, wire146x, wire147x, wire148x, wire149x, wire150x, wire151x, wire152x, wire153x, wire154x, wire155x, wire156x, wire157x, wire158x, wire159x, wire160x, wire161x); dcache_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m3 (clk,wire169x, wire170x, wire171x, wire172x, wire173x, wire174x, wire175x, wire176x, wire177x, wire178x, wire179x, wire180x, wire181x, wire182x, wire183x, wire184x, wire185x, wire186x, wire187x, wire188x, wire189x, wire190x, wire191x, wire192x, wire193x, wire194x, wire195x, wire196x, wire197x, wire198x, wire199x, wire200x, wire201x, wire202x, wire203x, wire204x, wire205x, wire206x, wire207x, wire208x, wire209x, wire210x, wire211x, wire212x, wire213x, wire214x, wire215x, wire216x, wire217x, wire218x, wire219x, wire220x, wire221x, wire222x, wire223x, wire224x, wire225x, wire226x, wire227x, wire228x, wire229x, wire230x, wire231x, wire232x, wire233x, wire234x, wire235x, wire236x, wire237x, wire238x, wire239x, wire240x, wire241x, wire242x, wire243x); generic_cache_nc_next_configx_1_4_2_29_20_7_2_3 m4 (clk,wire255x, wire256x, wire257x, wire258x, wire259x, wire260x, wire261x, wire262x, wire263x, wire264x, wire265x, wire266x, wire267x, wire268x, wire269x, wire270x, wire271x, wire272x, wire273x, wire274x, wire275x, wire276x, wire277x, wire278x, wire279x, wire280x, wire281x, wire282x, wire283x, wire284x, wire285x, wire286x, wire287x, wire288x, wire289x, wire290x, wire291x, wire292x, wire293x, wire294x, wire295x, wire296x, wire297x, wire298x, wire299x, wire300x, wire301x, wire302x, wire303x, wire304x, wire305x, wire306x, wire307x, wire308x, wire309x, wire310x, wire311x, wire312x, wire313x, wire314x, wire315x); icache_interface_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m5 (clk,wire343x, wire344x, wire345x, wire346x, wire347x, wire348x, wire349x, wire350x, wire351x, wire352x, wire353x, wire354x, wire355x, wire356x, wire357x, wire358x, wire359x, wire360x, wire361x, wire362x, wire363x, wire364x, wire365x, wire366x, wire367x, wire368x, wire369x, wire370x, wire371x, wire372x, wire373x, wire374x, wire375x, wire376x, wire377x, wire378x, wire379x, wire380x, wire381x, wire382x, wire383x, wire384x, wire385x, wire386x, wire387x, wire388x, wire389x, wire390x, wire391x, wire392x); interface_next_confx_29_2_3 m6 (clk,wire404x, wire405x, wire406x, wire407x, wire408x, wire409x, wire410x, wire411x, wire412x, wire413x, wire414x, wire415x, wire416x, wire417x, wire418x, wire419x, wire420x, wire421x, wire422x, wire423x); icache_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m7 (clk,wire431x, wire432x, wire433x, wire434x, wire435x, wire436x, wire437x, wire438x, wire439x, wire440x, wire441x, wire442x, wire443x, wire444x, wire445x, wire446x, wire447x, wire448x, wire449x, wire450x, wire451x, wire452x, wire453x, wire454x, wire455x, wire456x, wire457x, wire458x, wire459x, wire460x, wire461x, wire462x, wire463x, wire464x, wire465x, wire466x, wire467x, wire468x, wire469x, wire470x, wire471x, wire472x, wire473x, wire474x, wire475x, wire476x, wire477x, wire478x, wire479x, wire480x, wire481x, wire482x, wire483x, wire484x, wire485x, wire486x, wire487x, wire488x, wire489x, wire490x, wire491x, wire492x, wire493x, wire494x, wire495x, wire496x, wire497x, wire498x, wire499x, wire500x, wire501x, wire502x, wire503x, wire504x, wire505x); generic_cache_nc_next_configx_1_2_1_29_20_7_2_3 m8 (clk,wire517x, wire518x, wire519x, wire520x, wire521x, wire522x, wire523x, wire524x, wire525x, wire526x, wire527x, wire528x, wire529x, wire530x, wire531x, wire532x, wire533x, wire534x, wire535x, wire536x, wire537x, wire538x, wire539x, wire540x, wire541x, wire542x, wire543x, wire544x, wire545x, wire546x, wire547x, wire548x, wire549x, wire550x, wire551x, wire552x, wire553x); pipe_automaton_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m9 (clk,wire569x, wire570x, wire571x, wire572x, wire573x, wire574x, wire575x, wire576x, wire577x, wire578x, wire579x, wire580x, wire581x, wire582x, wire583x, wire584x, wire585x, wire586x, wire587x, wire588x, wire589x, wire590x, wire591x, wire592x, wire593x, wire594x, wire595x); pipe_automaton_impl_next_confx m10 (clk,wire605x, wire606x, wire607x, wire608x, wire609x, wire610x, wire611x, wire612x, wire613x, wire614x, wire615x, wire616x, wire617x, wire618x, wire619x, wire620x, wire621x, wire622x, wire623x, wire624x, wire625x, wire626x, wire627x, wire628x, wire629x, wire630x, wire631x, wire632x, wire633x, wire634x, wire635x, wire636x, wire637x, wire638x, wire639x, wire640x, wire641x, wire642x, wire643x, wire644x, wire645x, wire646x, wire647x, wire648x, wire649x, wire650x, wire651x, wire652x, wire653x, wire654x, wire655x, wire656x, wire657x, wire658x, wire659x, wire660x, wire661x, wire662x, wire663x, wire664x, wire665x, wire666x, wire667x, wire668x, wire669x, wire670x, wire671x, wire672x, wire673x, wire674x, wire675x, wire676x, wire677x, wire678x, wire679x, wire680x, wire681x, wire682x, wire683x, wire684x); memory_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m11 (clk,wire735x, wire736x, wire737x, wire738x, wire739x, wire740x, wire741x, wire742x, wire743x, wire744x, wire745x, wire746x, wire747x, wire748x, wire749x, wire750x, wire751x, wire752x, wire753x, wire754x, wire755x, wire756x, wire757x, wire758x, wire759x, wire760x, wire761x, wire762x, wire763x, wire764x, wire765x, wire766x, wire767x, wire768x, wire769x, wire770x, wire771x, wire772x, wire773x, wire774x, wire775x, wire776x, wire777x, wire778x, wire779x, wire780x); bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3 m12 (clk,wire787x, wire788x, wire789x, wire790x, wire791x, wire792x, wire793x, wire794x, wire795x ,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); memory_interface_with_caches_inputx_29_1_2_1_20_7_1_4_2_20_7_2_3 m13 (clk,wire799x, wire800x, wire801x, wire802x, wire803x, wire804x, wire805x, wire806x, wire807x, wire808x, wire809x, wire810x, wire811x, wire812x, wire813x, wire814x, wire815x, wire816x, wire817x, wire818x, wire819x, wire820x, wire821x, wire822x, wire823x, wire824x, wire825x, wire826x, wire827x, wire828x, wire829x, wire830x, wire831x, wire832x, wire833x, wire834x, wire835x, wire836x, wire837x, wire838x, wire839x, wire840x, wire841x, wire842x, wire843x); endmodule module ext_pipe_impl_next_conf_ncx_29_1_2_1_20_7_1_4_2_20_7_2_3(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, a_6x, a_7x, a_8x, a_9x, a_10x, a_11x, a_12x, a_13x, a_14x, a_15x, a_16x, a_17x, a_18x, a_19x, a_20x, a_21x, a_22x, a_23x, a_24x, a_25x, a_26x, a_27x, a_28x, a_29x, a_30x, a_31x, a_32x, a_33x, a_34x, a_35x, a_36x, a_37x, a_38x, a_39x, a_40x, a_41x, a_42x, a_43x, a_44x, a_45x, a_46x, a_47x, a_48x, a_49x, a_50x, a_51x, a_52x, a_53x, a_54x, a_55x, a_56x, a_57x, a_58x, a_59x, a_60x, a_61x, a_62x, a_63x, a_64x, a_65x, a_66x, a_67x, a_68x, a_69x, a_70x, a_71x, a_72x, a_73x, a_74x, a_75x, a_76x, a_77x, out_78x, out_79x, out_80x, out_81x, out_82x, out_83x, out_84x, out_85x, out_86x, out_87x, out_88x, out_89x, out_90x, out_91x, out_92x, out_93x, out_94x, out_95x, out_96x, out_97x, out_98x, out_99x, out_100x, out_101x, out_102x, out_103x, out_104x, out_105x, out_106x, out_107x, out_108x, out_109x, out_110x, out_111x, out_112x, out_113x, out_114x, out_115x, out_116x, out_117x, out_118x, out_119x, out_120x, out_121x, out_122x, out_123x, out_124x, out_125x, out_126x, out_127x, out_128x, out_129x, out_130x, out_131x, out_132x, out_133x, out_134x, out_135x, out_136x, out_137x, out_138x, out_139x, out_140x, out_141x, out_142x, out_143x, out_144x, out_145x, out_146x, out_147x, out_148x , bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); input clk; input a_0x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`cache_rd input a_1x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`fill_request input a_2x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`wait input a_3x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`line_fill input a_4x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`last_fill input a_5x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`wait4dinit input a_6x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`linv input a_7x; // external name: ext_pipe_impl`pipe_impl_config`automaton`istate`linv2 input a_8x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`cache_rd input a_9x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`fill_request input a_10x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`wait input a_11x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`line_fill input a_12x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`last_fill input a_13x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`cache_write input a_14x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`write_request input a_15x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`wait_write input a_16x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`line_write input a_17x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`last_write input a_18x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`wait4snoop input a_19x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`wait4mem input a_20x; // external name: ext_pipe_impl`pipe_impl_config`automaton`dstate`line_invalidate input [1:0] a_21x; // external name: ext_pipe_impl`pipe_impl_config`iinterface`scnt input [63:0] a_22x; // external name: ext_pipe_impl`pipe_impl_config`iinterface`fwd_word input [1:0] a_23x; // external name: ext_pipe_impl`pipe_impl_config`dinterface`scnt input [63:0] a_24x; // external name: ext_pipe_impl`pipe_impl_config`dinterface`fwd_word input [28:0] a_25x; // external name: ext_pipe_impl`pipe_impl_config`ev_address input [7:0] a_26x; // external name: ext_pipe_impl`pipe_impl_config`cdwb input [1:0] a_27x; // external name: ext_pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(3) input [1:0] a_28x; // external name: ext_pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(2) input [1:0] a_29x; // external name: ext_pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(1) input [1:0] a_30x; // external name: ext_pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(0) input [3:0] a_31x; // external name: ext_pipe_impl`pipe_impl_config`dcache`sa_cache_config`way_reg input [6:0] a_32x; // external name: ext_pipe_impl`pipe_impl_config`dcache`sa_cache_config`adr_reg input a_33x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(3)`valid input [26:0] a_34x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(3)`tag input a_35x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(3)`dirty input a_36x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(2)`valid input [26:0] a_37x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(2)`tag input a_38x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(2)`dirty input a_39x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(1)`valid input [26:0] a_40x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(1)`tag input a_41x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(1)`dirty input a_42x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(0)`valid input [26:0] a_43x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(0)`tag input a_44x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(0)`dirty input [1:0] a_45x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(3) input [1:0] a_46x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(2) input [1:0] a_47x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(1) input [1:0] a_48x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(0) input [3:0] a_49x; // external name: ext_pipe_impl`pipe_impl_config`dcache`fa_cache_config`way_reg input [1:0] a_50x; // external name: ext_pipe_impl`pipe_impl_config`icache`sa_cache_config`hist_reg input [1:0] a_51x; // external name: ext_pipe_impl`pipe_impl_config`icache`sa_cache_config`way_reg input [6:0] a_52x; // external name: ext_pipe_impl`pipe_impl_config`icache`sa_cache_config`adr_reg input a_53x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(1)`valid input [26:0] a_54x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(1)`tag input a_55x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(1)`dirty input a_56x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(0)`valid input [26:0] a_57x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(0)`tag input a_58x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(0)`dirty input [1:0] a_59x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`history input [1:0] a_60x; // external name: ext_pipe_impl`pipe_impl_config`icache`fa_cache_config`way_reg input [63:0] a_61x; // external name: ext_pipe_impl`pipe_impl_config`memory_dout input a_62x; // external name: ext_pipe_impl`pipe_impl_config`brdy input a_63x; // external name: ext_pipe_impl`pipe_impl_config`reqp input a_64x; // external name: ext_pipe_impl`arbiter input [28:0] a_65x; // external name: input`data`address input [63:0] a_66x; // external name: input`data`dout input a_67x; // external name: input`data`mw input a_68x; // external name: input`data`mr input [7:0] a_69x; // external name: input`data`mbw input [28:0] a_70x; // external name: input`data2`address input [63:0] a_71x; // external name: input`data2`dout input a_72x; // external name: input`data2`mw input a_73x; // external name: input`data2`mr input [7:0] a_74x; // external name: input`data2`mbw input [28:0] a_75x; // external name: input`inst`address input a_76x; // external name: input`inst`mr input a_77x; // external name: input`clear output out_78x; // external name: out``next_conf`pipe_impl_config`automaton`istate`cache_rd output out_79x; // external name: out``next_conf`pipe_impl_config`automaton`istate`fill_request output out_80x; // external name: out``next_conf`pipe_impl_config`automaton`istate`wait output out_81x; // external name: out``next_conf`pipe_impl_config`automaton`istate`line_fill output out_82x; // external name: out``next_conf`pipe_impl_config`automaton`istate`last_fill output out_83x; // external name: out``next_conf`pipe_impl_config`automaton`istate`wait4dinit output out_84x; // external name: out``next_conf`pipe_impl_config`automaton`istate`linv output out_85x; // external name: out``next_conf`pipe_impl_config`automaton`istate`linv2 output out_86x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`cache_rd output out_87x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`fill_request output out_88x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`wait output out_89x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`line_fill output out_90x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`last_fill output out_91x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`cache_write output out_92x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`write_request output out_93x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`wait_write output out_94x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`line_write output out_95x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`last_write output out_96x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`wait4snoop output out_97x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`wait4mem output out_98x; // external name: out``next_conf`pipe_impl_config`automaton`dstate`line_invalidate output [1:0] out_99x; // external name: out``next_conf`pipe_impl_config`iinterface`scnt output [63:0] out_100x; // external name: out``next_conf`pipe_impl_config`iinterface`fwd_word output [1:0] out_101x; // external name: out``next_conf`pipe_impl_config`dinterface`scnt output [63:0] out_102x; // external name: out``next_conf`pipe_impl_config`dinterface`fwd_word output [28:0] out_103x; // external name: out``next_conf`pipe_impl_config`ev_address output [7:0] out_104x; // external name: out``next_conf`pipe_impl_config`cdwb output [1:0] out_105x; // external name: out``next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(3) output [1:0] out_106x; // external name: out``next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(2) output [1:0] out_107x; // external name: out``next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(1) output [1:0] out_108x; // external name: out``next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(0) output [3:0] out_109x; // external name: out``next_conf`pipe_impl_config`dcache`sa_cache_config`way_reg output [6:0] out_110x; // external name: out``next_conf`pipe_impl_config`dcache`sa_cache_config`adr_reg output out_111x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(3)`valid output [26:0] out_112x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(3)`tag output out_113x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(3)`dirty output out_114x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(2)`valid output [26:0] out_115x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(2)`tag output out_116x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(2)`dirty output out_117x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(1)`valid output [26:0] out_118x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(1)`tag output out_119x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(1)`dirty output out_120x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(0)`valid output [26:0] out_121x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(0)`tag output out_122x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`dir(0)`dirty output [1:0] out_123x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`history(3) output [1:0] out_124x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`history(2) output [1:0] out_125x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`history(1) output [1:0] out_126x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`history(0) output [3:0] out_127x; // external name: out``next_conf`pipe_impl_config`dcache`fa_cache_config`way_reg output [1:0] out_128x; // external name: out``next_conf`pipe_impl_config`icache`sa_cache_config`hist_reg output [1:0] out_129x; // external name: out``next_conf`pipe_impl_config`icache`sa_cache_config`way_reg output [6:0] out_130x; // external name: out``next_conf`pipe_impl_config`icache`sa_cache_config`adr_reg output out_131x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`dir(1)`valid output [26:0] out_132x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`dir(1)`tag output out_133x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`dir(1)`dirty output out_134x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`dir(0)`valid output [26:0] out_135x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`dir(0)`tag output out_136x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`dir(0)`dirty output [1:0] out_137x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`history output [1:0] out_138x; // external name: out``next_conf`pipe_impl_config`icache`fa_cache_config`way_reg output [63:0] out_139x; // external name: out``next_conf`pipe_impl_config`memory_dout output out_140x; // external name: out``next_conf`pipe_impl_config`brdy output out_141x; // external name: out``next_conf`pipe_impl_config`reqp output out_142x; // external name: out``next_conf`arbiter output [63:0] out_143x; // external name: out``output`data`din output out_144x; // external name: out``output`data`busy output [63:0] out_145x; // external name: out``output`data2`din output out_146x; // external name: out``output`data2`busy output [63:0] out_147x; // external name: out``output`inst`din output out_148x; // external name: out``output`inst`busy output [28:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x; // connection to TOP-Level Modul: output [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x; // connection to TOP-Level Modul: output [7:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x; // connection to TOP-Level Modul: input [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x; // connection to TOP-Level Modul: wire [28:0] wire0x; // external name: pipe_impl_input`data`address wire [63:0] wire1x; // external name: pipe_impl_input`data`dout wire wire2x; // external name: pipe_impl_input`data`mw wire wire3x; // external name: pipe_impl_input`data`mr wire [7:0] wire4x; // external name: pipe_impl_input`data`mbw wire [28:0] wire5x; // external name: pipe_impl_input`inst`address wire wire6x; // external name: pipe_impl_input`inst`mr wire wire7x; // external name: pipe_impl_input`clear wire wire8x; wire wire9x; wire wire10x; wire wire11x; wire wire12x; wire wire13x; wire wire14x; wire wire15x; wire wire16x; wire wire17x; wire wire18x; wire wire19x; wire wire20x; wire wire21x; wire wire22x; wire wire23x; wire wire24x; wire wire25x; wire wire26x; wire wire27x; wire wire28x; wire [1:0] wire29x; wire [63:0] wire30x; wire [1:0] wire31x; wire [63:0] wire32x; wire [28:0] wire33x; wire [7:0] wire34x; wire [1:0] wire35x; wire [1:0] wire36x; wire [1:0] wire37x; wire [1:0] wire38x; wire [3:0] wire39x; wire [6:0] wire40x; wire wire41x; wire [26:0] wire42x; wire wire43x; wire wire44x; wire [26:0] wire45x; wire wire46x; wire wire47x; wire [26:0] wire48x; wire wire49x; wire wire50x; wire [26:0] wire51x; wire wire52x; wire [1:0] wire53x; wire [1:0] wire54x; wire [1:0] wire55x; wire [1:0] wire56x; wire [3:0] wire57x; wire [1:0] wire58x; wire [1:0] wire59x; wire [6:0] wire60x; wire wire61x; wire [26:0] wire62x; wire wire63x; wire wire64x; wire [26:0] wire65x; wire wire66x; wire [1:0] wire67x; wire [1:0] wire68x; wire [63:0] wire69x; wire wire70x; wire wire71x; wire [28:0] wire72x; wire [63:0] wire73x; wire wire74x; wire wire75x; wire [7:0] wire76x; wire [28:0] wire77x; wire wire78x; wire wire79x; wire wire80x; wire wire81x; wire wire82x; wire wire83x; wire wire84x; wire wire85x; wire wire86x; wire wire87x; wire wire88x; wire wire89x; wire wire90x; wire wire91x; wire wire92x; wire wire93x; wire wire94x; wire wire95x; wire wire96x; wire wire97x; wire wire98x; wire wire99x; wire wire100x; wire [1:0] wire101x; wire [63:0] wire102x; wire [1:0] wire103x; wire [63:0] wire104x; wire [28:0] wire105x; wire [7:0] wire106x; wire [1:0] wire107x; wire [1:0] wire108x; wire [1:0] wire109x; wire [1:0] wire110x; wire [3:0] wire111x; wire [6:0] wire112x; wire wire113x; wire [26:0] wire114x; wire wire115x; wire wire116x; wire [26:0] wire117x; wire wire118x; wire wire119x; wire [26:0] wire120x; wire wire121x; wire wire122x; wire [26:0] wire123x; wire wire124x; wire [1:0] wire125x; wire [1:0] wire126x; wire [1:0] wire127x; wire [1:0] wire128x; wire [3:0] wire129x; wire [1:0] wire130x; wire [1:0] wire131x; wire [6:0] wire132x; wire wire133x; wire [26:0] wire134x; wire wire135x; wire wire136x; wire [26:0] wire137x; wire wire138x; wire [1:0] wire139x; wire [1:0] wire140x; wire [63:0] wire141x; wire wire142x; wire wire143x; wire [63:0] wire144x; wire wire145x; wire [63:0] wire146x; wire wire147x; wire wire148x; // external name: pipe_impl_next`next_conf`automaton`istate`cache_rd wire wire149x; // external name: pipe_impl_next`next_conf`automaton`istate`fill_request wire wire150x; // external name: pipe_impl_next`next_conf`automaton`istate`wait wire wire151x; // external name: pipe_impl_next`next_conf`automaton`istate`line_fill wire wire152x; // external name: pipe_impl_next`next_conf`automaton`istate`last_fill wire wire153x; // external name: pipe_impl_next`next_conf`automaton`istate`wait4dinit wire wire154x; // external name: pipe_impl_next`next_conf`automaton`istate`linv wire wire155x; // external name: pipe_impl_next`next_conf`automaton`istate`linv2 wire wire156x; // external name: pipe_impl_next`next_conf`automaton`dstate`cache_rd wire wire157x; // external name: pipe_impl_next`next_conf`automaton`dstate`fill_request wire wire158x; // external name: pipe_impl_next`next_conf`automaton`dstate`wait wire wire159x; // external name: pipe_impl_next`next_conf`automaton`dstate`line_fill wire wire160x; // external name: pipe_impl_next`next_conf`automaton`dstate`last_fill wire wire161x; // external name: pipe_impl_next`next_conf`automaton`dstate`cache_write wire wire162x; // external name: pipe_impl_next`next_conf`automaton`dstate`write_request wire wire163x; // external name: pipe_impl_next`next_conf`automaton`dstate`wait_write wire wire164x; // external name: pipe_impl_next`next_conf`automaton`dstate`line_write wire wire165x; // external name: pipe_impl_next`next_conf`automaton`dstate`last_write wire wire166x; // external name: pipe_impl_next`next_conf`automaton`dstate`wait4snoop wire wire167x; // external name: pipe_impl_next`next_conf`automaton`dstate`wait4mem wire wire168x; // external name: pipe_impl_next`next_conf`automaton`dstate`line_invalidate wire [1:0] wire169x; // external name: pipe_impl_next`next_conf`iinterface`scnt wire [63:0] wire170x; // external name: pipe_impl_next`next_conf`iinterface`fwd_word wire [1:0] wire171x; // external name: pipe_impl_next`next_conf`dinterface`scnt wire [63:0] wire172x; // external name: pipe_impl_next`next_conf`dinterface`fwd_word wire [28:0] wire173x; // external name: pipe_impl_next`next_conf`ev_address wire [7:0] wire174x; // external name: pipe_impl_next`next_conf`cdwb wire [1:0] wire175x; // external name: pipe_impl_next`next_conf`dcache`sa_cache_config`hist_reg(3) wire [1:0] wire176x; // external name: pipe_impl_next`next_conf`dcache`sa_cache_config`hist_reg(2) wire [1:0] wire177x; // external name: pipe_impl_next`next_conf`dcache`sa_cache_config`hist_reg(1) wire [1:0] wire178x; // external name: pipe_impl_next`next_conf`dcache`sa_cache_config`hist_reg(0) wire [3:0] wire179x; // external name: pipe_impl_next`next_conf`dcache`sa_cache_config`way_reg wire [6:0] wire180x; // external name: pipe_impl_next`next_conf`dcache`sa_cache_config`adr_reg wire wire181x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(3)`valid wire [26:0] wire182x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(3)`tag wire wire183x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(3)`dirty wire wire184x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(2)`valid wire [26:0] wire185x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(2)`tag wire wire186x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(2)`dirty wire wire187x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(1)`valid wire [26:0] wire188x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(1)`tag wire wire189x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(1)`dirty wire wire190x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(0)`valid wire [26:0] wire191x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(0)`tag wire wire192x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`dir(0)`dirty wire [1:0] wire193x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`history(3) wire [1:0] wire194x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`history(2) wire [1:0] wire195x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`history(1) wire [1:0] wire196x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`history(0) wire [3:0] wire197x; // external name: pipe_impl_next`next_conf`dcache`fa_cache_config`way_reg wire [1:0] wire198x; // external name: pipe_impl_next`next_conf`icache`sa_cache_config`hist_reg wire [1:0] wire199x; // external name: pipe_impl_next`next_conf`icache`sa_cache_config`way_reg wire [6:0] wire200x; // external name: pipe_impl_next`next_conf`icache`sa_cache_config`adr_reg wire wire201x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`dir(1)`valid wire [26:0] wire202x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`dir(1)`tag wire wire203x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`dir(1)`dirty wire wire204x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`dir(0)`valid wire [26:0] wire205x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`dir(0)`tag wire wire206x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`dir(0)`dirty wire [1:0] wire207x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`history wire [1:0] wire208x; // external name: pipe_impl_next`next_conf`icache`fa_cache_config`way_reg wire [63:0] wire209x; // external name: pipe_impl_next`next_conf`memory_dout wire wire210x; // external name: pipe_impl_next`next_conf`brdy wire wire211x; // external name: pipe_impl_next`next_conf`reqp wire [63:0] wire212x; // external name: pipe_impl_next`output`data`din wire wire213x; // external name: pipe_impl_next`output`data`busy wire [63:0] wire214x; // external name: pipe_impl_next`output`inst`din wire wire215x; // external name: pipe_impl_next`output`inst`busy wire wire216x; // external name: dbusy assign wire0x = (a_64x) ? (a_65x) : (a_70x); assign wire1x = (a_64x) ? (a_66x) : (a_71x); assign wire2x = (a_64x) ? (a_67x) : (a_72x); assign wire3x = (a_64x) ? (a_68x) : (a_73x); assign wire4x = (a_64x) ? (a_69x) : (a_74x); assign wire5x = a_75x; assign wire6x = a_76x; assign wire7x = a_77x; assign wire8x = a_0x; assign wire9x = a_1x; assign wire10x = a_2x; assign wire11x = a_3x; assign wire12x = a_4x; assign wire13x = a_5x; assign wire14x = a_6x; assign wire15x = a_7x; assign wire16x = a_8x; assign wire17x = a_9x; assign wire18x = a_10x; assign wire19x = a_11x; assign wire20x = a_12x; assign wire21x = a_13x; assign wire22x = a_14x; assign wire23x = a_15x; assign wire24x = a_16x; assign wire25x = a_17x; assign wire26x = a_18x; assign wire27x = a_19x; assign wire28x = a_20x; assign wire29x = a_21x; assign wire30x = a_22x; assign wire31x = a_23x; assign wire32x = a_24x; assign wire33x = a_25x; assign wire34x = a_26x; assign wire35x = a_27x; assign wire36x = a_28x; assign wire37x = a_29x; assign wire38x = a_30x; assign wire39x = a_31x; assign wire40x = a_32x; assign wire41x = a_33x; assign wire42x = a_34x; assign wire43x = a_35x; assign wire44x = a_36x; assign wire45x = a_37x; assign wire46x = a_38x; assign wire47x = a_39x; assign wire48x = a_40x; assign wire49x = a_41x; assign wire50x = a_42x; assign wire51x = a_43x; assign wire52x = a_44x; assign wire53x = a_45x; assign wire54x = a_46x; assign wire55x = a_47x; assign wire56x = a_48x; assign wire57x = a_49x; assign wire58x = a_50x; assign wire59x = a_51x; assign wire60x = a_52x; assign wire61x = a_53x; assign wire62x = a_54x; assign wire63x = a_55x; assign wire64x = a_56x; assign wire65x = a_57x; assign wire66x = a_58x; assign wire67x = a_59x; assign wire68x = a_60x; assign wire69x = a_61x; assign wire70x = a_62x; assign wire71x = a_63x; assign wire72x = wire0x; assign wire73x = wire1x; assign wire74x = wire2x; assign wire75x = wire3x; assign wire76x = wire4x; assign wire77x = wire5x; assign wire78x = wire6x; assign wire79x = wire7x; assign wire148x = wire80x; assign wire149x = wire81x; assign wire150x = wire82x; assign wire151x = wire83x; assign wire152x = wire84x; assign wire153x = wire85x; assign wire154x = wire86x; assign wire155x = wire87x; assign wire156x = wire88x; assign wire157x = wire89x; assign wire158x = wire90x; assign wire159x = wire91x; assign wire160x = wire92x; assign wire161x = wire93x; assign wire162x = wire94x; assign wire163x = wire95x; assign wire164x = wire96x; assign wire165x = wire97x; assign wire166x = wire98x; assign wire167x = wire99x; assign wire168x = wire100x; assign wire169x = wire101x; assign wire170x = wire102x; assign wire171x = wire103x; assign wire172x = wire104x; assign wire173x = wire105x; assign wire174x = wire106x; assign wire175x = wire107x; assign wire176x = wire108x; assign wire177x = wire109x; assign wire178x = wire110x; assign wire179x = wire111x; assign wire180x = wire112x; assign wire181x = wire113x; assign wire182x = wire114x; assign wire183x = wire115x; assign wire184x = wire116x; assign wire185x = wire117x; assign wire186x = wire118x; assign wire187x = wire119x; assign wire188x = wire120x; assign wire189x = wire121x; assign wire190x = wire122x; assign wire191x = wire123x; assign wire192x = wire124x; assign wire193x = wire125x; assign wire194x = wire126x; assign wire195x = wire127x; assign wire196x = wire128x; assign wire197x = wire129x; assign wire198x = wire130x; assign wire199x = wire131x; assign wire200x = wire132x; assign wire201x = wire133x; assign wire202x = wire134x; assign wire203x = wire135x; assign wire204x = wire136x; assign wire205x = wire137x; assign wire206x = wire138x; assign wire207x = wire139x; assign wire208x = wire140x; assign wire209x = wire141x; assign wire210x = wire142x; assign wire211x = wire143x; assign wire212x = wire144x; assign wire213x = wire145x; assign wire214x = wire146x; assign wire215x = wire147x; assign wire216x = wire213x; assign out_78x = wire148x; assign out_79x = wire149x; assign out_80x = wire150x; assign out_81x = wire151x; assign out_82x = wire152x; assign out_83x = wire153x; assign out_84x = wire154x; assign out_85x = wire155x; assign out_86x = wire156x; assign out_87x = wire157x; assign out_88x = wire158x; assign out_89x = wire159x; assign out_90x = wire160x; assign out_91x = wire161x; assign out_92x = wire162x; assign out_93x = wire163x; assign out_94x = wire164x; assign out_95x = wire165x; assign out_96x = wire166x; assign out_97x = wire167x; assign out_98x = wire168x; assign out_99x = wire169x; assign out_100x = wire170x; assign out_101x = wire171x; assign out_102x = wire172x; assign out_103x = wire173x; assign out_104x = wire174x; assign out_105x = wire175x; assign out_106x = wire176x; assign out_107x = wire177x; assign out_108x = wire178x; assign out_109x = wire179x; assign out_110x = wire180x; assign out_111x = wire181x; assign out_112x = wire182x; assign out_113x = wire183x; assign out_114x = wire184x; assign out_115x = wire185x; assign out_116x = wire186x; assign out_117x = wire187x; assign out_118x = wire188x; assign out_119x = wire189x; assign out_120x = wire190x; assign out_121x = wire191x; assign out_122x = wire192x; assign out_123x = wire193x; assign out_124x = wire194x; assign out_125x = wire195x; assign out_126x = wire196x; assign out_127x = wire197x; assign out_128x = wire198x; assign out_129x = wire199x; assign out_130x = wire200x; assign out_131x = wire201x; assign out_132x = wire202x; assign out_133x = wire203x; assign out_134x = wire204x; assign out_135x = wire205x; assign out_136x = wire206x; assign out_137x = wire207x; assign out_138x = wire208x; assign out_139x = wire209x; assign out_140x = wire210x; assign out_141x = wire211x; assign out_142x = (((~a_64x) & ((~wire216x) & (a_68x | a_67x))) | (a_64x & (wire216x | (~(a_73x | a_72x))))); assign out_143x = wire212x; assign out_144x = (wire216x | (~a_64x)); assign out_145x = wire212x; assign out_146x = (wire216x | a_64x); assign out_147x = wire214x; assign out_148x = wire215x; pipe_impl_next_conf_with_caches_ncx_29_1_2_1_20_7_1_4_2_20_7_2_3 m0 (clk,wire8x, wire9x, wire10x, wire11x, wire12x, wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x, wire27x, wire28x, wire29x, wire30x, wire31x, wire32x, wire33x, wire34x, wire35x, wire36x, wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x, wire49x, wire50x, wire51x, wire52x, wire53x, wire54x, wire55x, wire56x, wire57x, wire58x, wire59x, wire60x, wire61x, wire62x, wire63x, wire64x, wire65x, wire66x, wire67x, wire68x, wire69x, wire70x, wire71x, wire72x, wire73x, wire74x, wire75x, wire76x, wire77x, wire78x, wire79x, wire80x, wire81x, wire82x, wire83x, wire84x, wire85x, wire86x, wire87x, wire88x, wire89x, wire90x, wire91x, wire92x, wire93x, wire94x, wire95x, wire96x, wire97x, wire98x, wire99x, wire100x, wire101x, wire102x, wire103x, wire104x, wire105x, wire106x, wire107x, wire108x, wire109x, wire110x, wire111x, wire112x, wire113x, wire114x, wire115x, wire116x, wire117x, wire118x, wire119x, wire120x, wire121x, wire122x, wire123x, wire124x, wire125x, wire126x, wire127x, wire128x, wire129x, wire130x, wire131x, wire132x, wire133x, wire134x, wire135x, wire136x, wire137x, wire138x, wire139x, wire140x, wire141x, wire142x, wire143x, wire144x, wire145x, wire146x, wire147x ,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); endmodule module mainx(clk, a_0x, a_1x, a_2x, a_3x, a_4x, a_5x, out_6x, out_7x , bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x, bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); input clk; input a_0x; // external name: clear input [28:0] a_1x; // external name: input`address input [63:0] a_2x; // external name: input`dout input a_3x; // external name: input`mw input a_4x; // external name: input`mr input [7:0] a_5x; // external name: input`mbw output [63:0] out_6x; // external name: out``output`din output out_7x; // external name: out``output`busy output [28:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x; // connection to TOP-Level Modul: output [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x; // connection to TOP-Level Modul: output bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x; // connection to TOP-Level Modul: output [7:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x; // connection to TOP-Level Modul: input [63:0] bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x; // connection to TOP-Level Modul: input bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x; // connection to TOP-Level Modul: reg reg_0x; // external name: pipe_impl`pipe_impl_config`automaton`istate`cache_rd reg reg_1x; // external name: pipe_impl`pipe_impl_config`automaton`istate`fill_request reg reg_2x; // external name: pipe_impl`pipe_impl_config`automaton`istate`wait reg reg_3x; // external name: pipe_impl`pipe_impl_config`automaton`istate`line_fill reg reg_4x; // external name: pipe_impl`pipe_impl_config`automaton`istate`last_fill reg reg_5x; // external name: pipe_impl`pipe_impl_config`automaton`istate`wait4dinit reg reg_6x; // external name: pipe_impl`pipe_impl_config`automaton`istate`linv reg reg_7x; // external name: pipe_impl`pipe_impl_config`automaton`istate`linv2 reg reg_8x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`cache_rd reg reg_9x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`fill_request reg reg_10x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`wait reg reg_11x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`line_fill reg reg_12x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`last_fill reg reg_13x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`cache_write reg reg_14x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`write_request reg reg_15x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`wait_write reg reg_16x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`line_write reg reg_17x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`last_write reg reg_18x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`wait4snoop reg reg_19x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`wait4mem reg reg_20x; // external name: pipe_impl`pipe_impl_config`automaton`dstate`line_invalidate reg [1:0] reg_21x; // external name: pipe_impl`pipe_impl_config`iinterface`scnt reg [63:0] reg_22x; // external name: pipe_impl`pipe_impl_config`iinterface`fwd_word reg [1:0] reg_23x; // external name: pipe_impl`pipe_impl_config`dinterface`scnt reg [63:0] reg_24x; // external name: pipe_impl`pipe_impl_config`dinterface`fwd_word reg [28:0] reg_25x; // external name: pipe_impl`pipe_impl_config`ev_address reg [7:0] reg_26x; // external name: pipe_impl`pipe_impl_config`cdwb reg [1:0] reg_83x; // external name: pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(3) reg [1:0] reg_84x; // external name: pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(2) reg [1:0] reg_85x; // external name: pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(1) reg [1:0] reg_86x; // external name: pipe_impl`pipe_impl_config`dcache`sa_cache_config`hist_reg(0) reg [3:0] reg_87x; // external name: pipe_impl`pipe_impl_config`dcache`sa_cache_config`way_reg reg [6:0] reg_88x; // external name: pipe_impl`pipe_impl_config`dcache`sa_cache_config`adr_reg reg reg_97x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(3)`valid reg [26:0] reg_98x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(3)`tag reg reg_99x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(3)`dirty reg reg_100x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(2)`valid reg [26:0] reg_101x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(2)`tag reg reg_102x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(2)`dirty reg reg_103x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(1)`valid reg [26:0] reg_104x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(1)`tag reg reg_105x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(1)`dirty reg reg_106x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(0)`valid reg [26:0] reg_107x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(0)`tag reg reg_108x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`dir(0)`dirty reg [1:0] reg_109x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(3) reg [1:0] reg_110x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(2) reg [1:0] reg_111x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(1) reg [1:0] reg_112x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`history(0) reg [3:0] reg_113x; // external name: pipe_impl`pipe_impl_config`dcache`fa_cache_config`way_reg reg [1:0] reg_148x; // external name: pipe_impl`pipe_impl_config`icache`sa_cache_config`hist_reg reg [1:0] reg_149x; // external name: pipe_impl`pipe_impl_config`icache`sa_cache_config`way_reg reg [6:0] reg_150x; // external name: pipe_impl`pipe_impl_config`icache`sa_cache_config`adr_reg reg reg_159x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(1)`valid reg [26:0] reg_160x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(1)`tag reg reg_161x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(1)`dirty reg reg_162x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(0)`valid reg [26:0] reg_163x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(0)`tag reg reg_164x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`dir(0)`dirty reg [1:0] reg_165x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`history reg [1:0] reg_166x; // external name: pipe_impl`pipe_impl_config`icache`fa_cache_config`way_reg reg [63:0] reg_167x; // external name: pipe_impl`pipe_impl_config`memory_dout reg reg_168x; // external name: pipe_impl`pipe_impl_config`brdy reg reg_169x; // external name: pipe_impl`pipe_impl_config`reqp reg reg_170x; // external name: pipe_impl`arbiter wire [28:0] wire0x; // external name: ext_input`data`address wire [63:0] wire1x; // external name: ext_input`data`dout wire wire2x; // external name: ext_input`data`mw wire wire3x; // external name: ext_input`data`mr wire [7:0] wire4x; // external name: ext_input`data`mbw wire [28:0] wire5x; // external name: ext_input`data2`address wire [63:0] wire6x; // external name: ext_input`data2`dout wire wire7x; // external name: ext_input`data2`mw wire wire8x; // external name: ext_input`data2`mr wire [7:0] wire9x; // external name: ext_input`data2`mbw wire [28:0] wire10x; // external name: ext_input`inst`address wire wire11x; // external name: ext_input`inst`mr wire wire12x; // external name: ext_input`clear wire wire13x; wire wire14x; wire wire15x; wire wire16x; wire wire17x; wire wire18x; wire wire19x; wire wire20x; wire wire21x; wire wire22x; wire wire23x; wire wire24x; wire wire25x; wire wire26x; wire wire27x; wire wire28x; wire wire29x; wire wire30x; wire wire31x; wire wire32x; wire wire33x; wire [1:0] wire34x; wire [63:0] wire35x; wire [1:0] wire36x; wire [63:0] wire37x; wire [28:0] wire38x; wire [7:0] wire39x; wire [1:0] wire40x; wire [1:0] wire41x; wire [1:0] wire42x; wire [1:0] wire43x; wire [3:0] wire44x; wire [6:0] wire45x; wire wire46x; wire [26:0] wire47x; wire wire48x; wire wire49x; wire [26:0] wire50x; wire wire51x; wire wire52x; wire [26:0] wire53x; wire wire54x; wire wire55x; wire [26:0] wire56x; wire wire57x; wire [1:0] wire58x; wire [1:0] wire59x; wire [1:0] wire60x; wire [1:0] wire61x; wire [3:0] wire62x; wire [1:0] wire63x; wire [1:0] wire64x; wire [6:0] wire65x; wire wire66x; wire [26:0] wire67x; wire wire68x; wire wire69x; wire [26:0] wire70x; wire wire71x; wire [1:0] wire72x; wire [1:0] wire73x; wire [63:0] wire74x; wire wire75x; wire wire76x; wire wire77x; wire [28:0] wire78x; wire [63:0] wire79x; wire wire80x; wire wire81x; wire [7:0] wire82x; wire [28:0] wire83x; wire [63:0] wire84x; wire wire85x; wire wire86x; wire [7:0] wire87x; wire [28:0] wire88x; wire wire89x; wire wire90x; wire wire91x; wire wire92x; wire wire93x; wire wire94x; wire wire95x; wire wire96x; wire wire97x; wire wire98x; wire wire99x; wire wire100x; wire wire101x; wire wire102x; wire wire103x; wire wire104x; wire wire105x; wire wire106x; wire wire107x; wire wire108x; wire wire109x; wire wire110x; wire wire111x; wire [1:0] wire112x; wire [63:0] wire113x; wire [1:0] wire114x; wire [63:0] wire115x; wire [28:0] wire116x; wire [7:0] wire117x; wire [1:0] wire118x; wire [1:0] wire119x; wire [1:0] wire120x; wire [1:0] wire121x; wire [3:0] wire122x; wire [6:0] wire123x; wire wire124x; wire [26:0] wire125x; wire wire126x; wire wire127x; wire [26:0] wire128x; wire wire129x; wire wire130x; wire [26:0] wire131x; wire wire132x; wire wire133x; wire [26:0] wire134x; wire wire135x; wire [1:0] wire136x; wire [1:0] wire137x; wire [1:0] wire138x; wire [1:0] wire139x; wire [3:0] wire140x; wire [1:0] wire141x; wire [1:0] wire142x; wire [6:0] wire143x; wire wire144x; wire [26:0] wire145x; wire wire146x; wire wire147x; wire [26:0] wire148x; wire wire149x; wire [1:0] wire150x; wire [1:0] wire151x; wire [63:0] wire152x; wire wire153x; wire wire154x; wire wire155x; wire [63:0] wire156x; wire wire157x; wire [63:0] wire158x; wire wire159x; wire [63:0] wire160x; wire wire161x; wire wire162x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`cache_rd wire wire163x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`fill_request wire wire164x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`wait wire wire165x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`line_fill wire wire166x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`last_fill wire wire167x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`wait4dinit wire wire168x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`linv wire wire169x; // external name: ext_next`next_conf`pipe_impl_config`automaton`istate`linv2 wire wire170x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`cache_rd wire wire171x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`fill_request wire wire172x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`wait wire wire173x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`line_fill wire wire174x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`last_fill wire wire175x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`cache_write wire wire176x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`write_request wire wire177x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`wait_write wire wire178x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`line_write wire wire179x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`last_write wire wire180x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`wait4snoop wire wire181x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`wait4mem wire wire182x; // external name: ext_next`next_conf`pipe_impl_config`automaton`dstate`line_invalidate wire [1:0] wire183x; // external name: ext_next`next_conf`pipe_impl_config`iinterface`scnt wire [63:0] wire184x; // external name: ext_next`next_conf`pipe_impl_config`iinterface`fwd_word wire [1:0] wire185x; // external name: ext_next`next_conf`pipe_impl_config`dinterface`scnt wire [63:0] wire186x; // external name: ext_next`next_conf`pipe_impl_config`dinterface`fwd_word wire [28:0] wire187x; // external name: ext_next`next_conf`pipe_impl_config`ev_address wire [7:0] wire188x; // external name: ext_next`next_conf`pipe_impl_config`cdwb wire [1:0] wire189x; // external name: ext_next`next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(3) wire [1:0] wire190x; // external name: ext_next`next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(2) wire [1:0] wire191x; // external name: ext_next`next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(1) wire [1:0] wire192x; // external name: ext_next`next_conf`pipe_impl_config`dcache`sa_cache_config`hist_reg(0) wire [3:0] wire193x; // external name: ext_next`next_conf`pipe_impl_config`dcache`sa_cache_config`way_reg wire [6:0] wire194x; // external name: ext_next`next_conf`pipe_impl_config`dcache`sa_cache_config`adr_reg wire wire195x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(3)`valid wire [26:0] wire196x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(3)`tag wire wire197x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(3)`dirty wire wire198x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(2)`valid wire [26:0] wire199x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(2)`tag wire wire200x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(2)`dirty wire wire201x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(1)`valid wire [26:0] wire202x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(1)`tag wire wire203x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(1)`dirty wire wire204x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(0)`valid wire [26:0] wire205x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(0)`tag wire wire206x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`dir(0)`dirty wire [1:0] wire207x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`history(3) wire [1:0] wire208x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`history(2) wire [1:0] wire209x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`history(1) wire [1:0] wire210x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`history(0) wire [3:0] wire211x; // external name: ext_next`next_conf`pipe_impl_config`dcache`fa_cache_config`way_reg wire [1:0] wire212x; // external name: ext_next`next_conf`pipe_impl_config`icache`sa_cache_config`hist_reg wire [1:0] wire213x; // external name: ext_next`next_conf`pipe_impl_config`icache`sa_cache_config`way_reg wire [6:0] wire214x; // external name: ext_next`next_conf`pipe_impl_config`icache`sa_cache_config`adr_reg wire wire215x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`dir(1)`valid wire [26:0] wire216x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`dir(1)`tag wire wire217x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`dir(1)`dirty wire wire218x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`dir(0)`valid wire [26:0] wire219x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`dir(0)`tag wire wire220x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`dir(0)`dirty wire [1:0] wire221x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`history wire [1:0] wire222x; // external name: ext_next`next_conf`pipe_impl_config`icache`fa_cache_config`way_reg wire [63:0] wire223x; // external name: ext_next`next_conf`pipe_impl_config`memory_dout wire wire224x; // external name: ext_next`next_conf`pipe_impl_config`brdy wire wire225x; // external name: ext_next`next_conf`pipe_impl_config`reqp wire wire226x; // external name: ext_next`next_conf`arbiter wire [63:0] wire227x; // external name: ext_next`output`data`din wire wire228x; // external name: ext_next`output`data`busy wire [63:0] wire229x; // external name: ext_next`output`data2`din wire wire230x; // external name: ext_next`output`data2`busy wire [63:0] wire231x; // external name: ext_next`output`inst`din wire wire232x; // external name: ext_next`output`inst`busy assign wire0x = 29'b00000000000000000000000000000; assign wire1x = 64'b0000000000000000000000000000000000000000000000000000000000000000; assign wire2x = 1'b0; assign wire3x = 1'b0; assign wire4x = 8'b00000000; assign wire5x = a_1x; assign wire6x = a_2x; assign wire7x = a_3x; assign wire8x = a_4x; assign wire9x = a_5x; assign wire10x = 29'b00000000000000000000000000000; assign wire11x = 1'b0; assign wire12x = a_0x; assign wire13x = reg_0x; assign wire14x = reg_1x; assign wire15x = reg_2x; assign wire16x = reg_3x; assign wire17x = reg_4x; assign wire18x = reg_5x; assign wire19x = reg_6x; assign wire20x = reg_7x; assign wire21x = reg_8x; assign wire22x = reg_9x; assign wire23x = reg_10x; assign wire24x = reg_11x; assign wire25x = reg_12x; assign wire26x = reg_13x; assign wire27x = reg_14x; assign wire28x = reg_15x; assign wire29x = reg_16x; assign wire30x = reg_17x; assign wire31x = reg_18x; assign wire32x = reg_19x; assign wire33x = reg_20x; assign wire34x = reg_21x; assign wire35x = reg_22x; assign wire36x = reg_23x; assign wire37x = reg_24x; assign wire38x = reg_25x; assign wire39x = reg_26x; assign wire40x = reg_83x; assign wire41x = reg_84x; assign wire42x = reg_85x; assign wire43x = reg_86x; assign wire44x = reg_87x; assign wire45x = reg_88x; assign wire46x = reg_97x; assign wire47x = reg_98x; assign wire48x = reg_99x; assign wire49x = reg_100x; assign wire50x = reg_101x; assign wire51x = reg_102x; assign wire52x = reg_103x; assign wire53x = reg_104x; assign wire54x = reg_105x; assign wire55x = reg_106x; assign wire56x = reg_107x; assign wire57x = reg_108x; assign wire58x = reg_109x; assign wire59x = reg_110x; assign wire60x = reg_111x; assign wire61x = reg_112x; assign wire62x = reg_113x; assign wire63x = reg_148x; assign wire64x = reg_149x; assign wire65x = reg_150x; assign wire66x = reg_159x; assign wire67x = reg_160x; assign wire68x = reg_161x; assign wire69x = reg_162x; assign wire70x = reg_163x; assign wire71x = reg_164x; assign wire72x = reg_165x; assign wire73x = reg_166x; assign wire74x = reg_167x; assign wire75x = reg_168x; assign wire76x = reg_169x; assign wire77x = reg_170x; assign wire78x = wire0x; assign wire79x = wire1x; assign wire80x = wire2x; assign wire81x = wire3x; assign wire82x = wire4x; assign wire83x = wire5x; assign wire84x = wire6x; assign wire85x = wire7x; assign wire86x = wire8x; assign wire87x = wire9x; assign wire88x = wire10x; assign wire89x = wire11x; assign wire90x = wire12x; assign wire162x = wire91x; assign wire163x = wire92x; assign wire164x = wire93x; assign wire165x = wire94x; assign wire166x = wire95x; assign wire167x = wire96x; assign wire168x = wire97x; assign wire169x = wire98x; assign wire170x = wire99x; assign wire171x = wire100x; assign wire172x = wire101x; assign wire173x = wire102x; assign wire174x = wire103x; assign wire175x = wire104x; assign wire176x = wire105x; assign wire177x = wire106x; assign wire178x = wire107x; assign wire179x = wire108x; assign wire180x = wire109x; assign wire181x = wire110x; assign wire182x = wire111x; assign wire183x = wire112x; assign wire184x = wire113x; assign wire185x = wire114x; assign wire186x = wire115x; assign wire187x = wire116x; assign wire188x = wire117x; assign wire189x = wire118x; assign wire190x = wire119x; assign wire191x = wire120x; assign wire192x = wire121x; assign wire193x = wire122x; assign wire194x = wire123x; assign wire195x = wire124x; assign wire196x = wire125x; assign wire197x = wire126x; assign wire198x = wire127x; assign wire199x = wire128x; assign wire200x = wire129x; assign wire201x = wire130x; assign wire202x = wire131x; assign wire203x = wire132x; assign wire204x = wire133x; assign wire205x = wire134x; assign wire206x = wire135x; assign wire207x = wire136x; assign wire208x = wire137x; assign wire209x = wire138x; assign wire210x = wire139x; assign wire211x = wire140x; assign wire212x = wire141x; assign wire213x = wire142x; assign wire214x = wire143x; assign wire215x = wire144x; assign wire216x = wire145x; assign wire217x = wire146x; assign wire218x = wire147x; assign wire219x = wire148x; assign wire220x = wire149x; assign wire221x = wire150x; assign wire222x = wire151x; assign wire223x = wire152x; assign wire224x = wire153x; assign wire225x = wire154x; assign wire226x = wire155x; assign wire227x = wire156x; assign wire228x = wire157x; assign wire229x = wire158x; assign wire230x = wire159x; assign wire231x = wire160x; assign wire232x = wire161x; assign out_6x = wire229x; assign out_7x = wire230x; always @ (posedge clk) begin reg_0x <= wire162x; reg_1x <= wire163x; reg_2x <= wire164x; reg_3x <= wire165x; reg_4x <= wire166x; reg_5x <= wire167x; reg_6x <= wire168x; reg_7x <= wire169x; reg_8x <= wire170x; reg_9x <= wire171x; reg_10x <= wire172x; reg_11x <= wire173x; reg_12x <= wire174x; reg_13x <= wire175x; reg_14x <= wire176x; reg_15x <= wire177x; reg_16x <= wire178x; reg_17x <= wire179x; reg_18x <= wire180x; reg_19x <= wire181x; reg_20x <= wire182x; reg_21x <= wire183x; reg_22x <= wire184x; reg_23x <= wire185x; reg_24x <= wire186x; reg_25x <= wire187x; reg_26x <= wire188x; reg_83x <= wire189x; reg_84x <= wire190x; reg_85x <= wire191x; reg_86x <= wire192x; reg_87x <= wire193x; reg_88x <= wire194x; reg_97x <= wire195x; reg_98x <= wire196x; reg_99x <= wire197x; reg_100x <= wire198x; reg_101x <= wire199x; reg_102x <= wire200x; reg_103x <= wire201x; reg_104x <= wire202x; reg_105x <= wire203x; reg_106x <= wire204x; reg_107x <= wire205x; reg_108x <= wire206x; reg_109x <= wire207x; reg_110x <= wire208x; reg_111x <= wire209x; reg_112x <= wire210x; reg_113x <= wire211x; reg_148x <= wire212x; reg_149x <= wire213x; reg_150x <= wire214x; reg_159x <= wire215x; reg_160x <= wire216x; reg_161x <= wire217x; reg_162x <= wire218x; reg_163x <= wire219x; reg_164x <= wire220x; reg_165x <= wire221x; reg_166x <= wire222x; reg_167x <= wire223x; reg_168x <= wire224x; reg_169x <= wire225x; reg_170x <= wire226x; end ext_pipe_impl_next_conf_ncx_29_1_2_1_20_7_1_4_2_20_7_2_3 m0 (clk,wire13x, wire14x, wire15x, wire16x, wire17x, wire18x, wire19x, wire20x, wire21x, wire22x, wire23x, wire24x, wire25x, wire26x, wire27x, wire28x, wire29x, wire30x, wire31x, wire32x, wire33x, wire34x, wire35x, wire36x, wire37x, wire38x, wire39x, wire40x, wire41x, wire42x, wire43x, wire44x, wire45x, wire46x, wire47x, wire48x, wire49x, wire50x, wire51x, wire52x, wire53x, wire54x, wire55x, wire56x, wire57x, wire58x, wire59x, wire60x, wire61x, wire62x, wire63x, wire64x, wire65x, wire66x, wire67x, wire68x, wire69x, wire70x, wire71x, wire72x, wire73x, wire74x, wire75x, wire76x, wire77x, wire78x, wire79x, wire80x, wire81x, wire82x, wire83x, wire84x, wire85x, wire86x, wire87x, wire88x, wire89x, wire90x, wire91x, wire92x, wire93x, wire94x, wire95x, wire96x, wire97x, wire98x, wire99x, wire100x, wire101x, wire102x, wire103x, wire104x, wire105x, wire106x, wire107x, wire108x, wire109x, wire110x, wire111x, wire112x, wire113x, wire114x, wire115x, wire116x, wire117x, wire118x, wire119x, wire120x, wire121x, wire122x, wire123x, wire124x, wire125x, wire126x, wire127x, wire128x, wire129x, wire130x, wire131x, wire132x, wire133x, wire134x, wire135x, wire136x, wire137x, wire138x, wire139x, wire140x, wire141x, wire142x, wire143x, wire144x, wire145x, wire146x, wire147x, wire148x, wire149x, wire150x, wire151x, wire152x, wire153x, wire154x, wire155x, wire156x, wire157x, wire158x, wire159x, wire160x, wire161x ,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a0x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a1x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a2x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a3x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a4x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_a5x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out6x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out7x,bp_bus_protocolx_29_1_2_1_20_7_1_4_2_20_7_2_3_out8x); endmodule