(edif test (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timeStamp 2001 10 28 18 58 2) (author "Xilinx, Inc.") (program "Xilinx CORE Generator" (version "Xilinx CORE Generator 3.3.07i")))) (comment "This file was created by the Xilinx CORE Generator tool, and is (c) Xilinx, Inc. 1998, 1999. No part of this file may be transmitted to any third party (other than intended by Xilinx) or used without a Xilinx programmable or hardwire device without Xilinx's prior written permission.") (external xilinxun (edifLevel 0) (technology (numberDefinition)) (cell VCC (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port P (direction OUTPUT)) ) ) ) (cell GND (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port G (direction OUTPUT)) ) ) ) (cell LUT4 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port I2 (direction INPUT)) (port I3 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell RAM16X1D (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port D (direction INPUT)) (port WE (direction INPUT)) (port WCLK (direction INPUT)) (port A0 (direction INPUT)) (port A1 (direction INPUT)) (port A2 (direction INPUT)) (port A3 (direction INPUT)) (port DPRA0 (direction INPUT)) (port DPRA1 (direction INPUT)) (port DPRA2 (direction INPUT)) (port DPRA3 (direction INPUT)) (port SPO (direction OUTPUT)) (port DPO (direction OUTPUT)) ) ) ) ) (library test_lib (edifLevel 0) (technology (numberDefinition (scale 1 (E 1 -12) (unit Time)))) (cell gpr_ram (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port ( rename A_0_ "A<0>") (direction INPUT)) (port ( rename A_1_ "A<1>") (direction INPUT)) (port ( rename A_2_ "A<2>") (direction INPUT)) (port ( rename A_3_ "A<3>") (direction INPUT)) (port ( rename A_4_ "A<4>") (direction INPUT)) (port ( rename CLK "CLK") (direction INPUT)) (port ( rename D_0_ "D<0>") (direction INPUT)) (port ( rename D_1_ "D<1>") (direction INPUT)) (port ( rename D_2_ "D<2>") (direction INPUT)) (port ( rename D_3_ "D<3>") (direction INPUT)) (port ( rename D_4_ "D<4>") (direction INPUT)) (port ( rename D_5_ "D<5>") (direction INPUT)) (port ( rename D_6_ "D<6>") (direction INPUT)) (port ( rename D_7_ "D<7>") (direction INPUT)) (port ( rename D_8_ "D<8>") (direction INPUT)) (port ( rename D_9_ "D<9>") (direction INPUT)) (port ( rename D_10_ "D<10>") (direction INPUT)) (port ( rename D_11_ "D<11>") (direction INPUT)) (port ( rename D_12_ "D<12>") (direction INPUT)) (port ( rename D_13_ "D<13>") (direction INPUT)) (port ( rename D_14_ "D<14>") (direction INPUT)) (port ( rename D_15_ "D<15>") (direction INPUT)) (port ( rename D_16_ "D<16>") (direction INPUT)) (port ( rename D_17_ "D<17>") (direction INPUT)) (port ( rename D_18_ "D<18>") (direction INPUT)) (port ( rename D_19_ "D<19>") (direction INPUT)) (port ( rename D_20_ "D<20>") (direction INPUT)) (port ( rename D_21_ "D<21>") (direction INPUT)) (port ( rename D_22_ "D<22>") (direction INPUT)) (port ( rename D_23_ "D<23>") (direction INPUT)) (port ( rename D_24_ "D<24>") (direction INPUT)) (port ( rename D_25_ "D<25>") (direction INPUT)) (port ( rename D_26_ "D<26>") (direction INPUT)) (port ( rename D_27_ "D<27>") (direction INPUT)) (port ( rename D_28_ "D<28>") (direction INPUT)) (port ( rename D_29_ "D<29>") (direction INPUT)) (port ( rename D_30_ "D<30>") (direction INPUT)) (port ( rename D_31_ "D<31>") (direction INPUT)) (port ( rename WE "WE") (direction INPUT)) (port ( rename DPRA_0_ "DPRA<0>") (direction INPUT)) (port ( rename DPRA_1_ "DPRA<1>") (direction INPUT)) (port ( rename DPRA_2_ "DPRA<2>") (direction INPUT)) (port ( rename DPRA_3_ "DPRA<3>") (direction INPUT)) (port ( rename DPRA_4_ "DPRA<4>") (direction INPUT)) (port ( rename DPO_0_ "DPO<0>") (direction OUTPUT)) (port ( rename DPO_1_ "DPO<1>") (direction OUTPUT)) (port ( rename DPO_2_ "DPO<2>") (direction OUTPUT)) (port ( rename DPO_3_ "DPO<3>") (direction OUTPUT)) (port ( rename DPO_4_ "DPO<4>") (direction OUTPUT)) (port ( rename DPO_5_ "DPO<5>") (direction OUTPUT)) (port ( rename DPO_6_ "DPO<6>") (direction OUTPUT)) (port ( rename DPO_7_ "DPO<7>") (direction OUTPUT)) (port ( rename DPO_8_ "DPO<8>") (direction OUTPUT)) (port ( rename DPO_9_ "DPO<9>") (direction OUTPUT)) (port ( rename DPO_10_ "DPO<10>") (direction OUTPUT)) (port ( rename DPO_11_ "DPO<11>") (direction OUTPUT)) (port ( rename DPO_12_ "DPO<12>") (direction OUTPUT)) (port ( rename DPO_13_ "DPO<13>") (direction OUTPUT)) (port ( rename DPO_14_ "DPO<14>") (direction OUTPUT)) (port ( rename DPO_15_ "DPO<15>") (direction OUTPUT)) (port ( rename DPO_16_ "DPO<16>") (direction OUTPUT)) (port ( rename DPO_17_ "DPO<17>") (direction OUTPUT)) (port ( rename DPO_18_ "DPO<18>") (direction OUTPUT)) (port ( rename DPO_19_ "DPO<19>") (direction OUTPUT)) (port ( rename DPO_20_ "DPO<20>") (direction OUTPUT)) (port ( rename DPO_21_ "DPO<21>") (direction OUTPUT)) (port ( rename DPO_22_ "DPO<22>") (direction OUTPUT)) (port ( rename DPO_23_ "DPO<23>") (direction OUTPUT)) (port ( rename DPO_24_ "DPO<24>") (direction OUTPUT)) (port ( rename DPO_25_ "DPO<25>") (direction OUTPUT)) (port ( rename DPO_26_ "DPO<26>") (direction OUTPUT)) (port ( rename DPO_27_ "DPO<27>") (direction OUTPUT)) (port ( rename DPO_28_ "DPO<28>") (direction OUTPUT)) (port ( rename DPO_29_ "DPO<29>") (direction OUTPUT)) (port ( rename DPO_30_ "DPO<30>") (direction OUTPUT)) (port ( rename DPO_31_ "DPO<31>") (direction OUTPUT)) (port ( rename SPO_0_ "SPO<0>") (direction OUTPUT)) (port ( rename SPO_1_ "SPO<1>") (direction OUTPUT)) (port ( rename SPO_2_ "SPO<2>") (direction OUTPUT)) (port ( rename SPO_3_ "SPO<3>") (direction OUTPUT)) (port ( rename SPO_4_ "SPO<4>") (direction OUTPUT)) (port ( rename SPO_5_ "SPO<5>") (direction OUTPUT)) (port ( rename SPO_6_ "SPO<6>") (direction OUTPUT)) (port ( rename SPO_7_ "SPO<7>") (direction OUTPUT)) (port ( rename SPO_8_ "SPO<8>") (direction OUTPUT)) (port ( rename SPO_9_ "SPO<9>") (direction OUTPUT)) (port ( rename SPO_10_ "SPO<10>") (direction OUTPUT)) (port ( rename SPO_11_ "SPO<11>") (direction OUTPUT)) (port ( rename SPO_12_ "SPO<12>") (direction OUTPUT)) (port ( rename SPO_13_ "SPO<13>") (direction OUTPUT)) (port ( rename SPO_14_ "SPO<14>") (direction OUTPUT)) (port ( rename SPO_15_ "SPO<15>") (direction OUTPUT)) (port ( rename SPO_16_ "SPO<16>") (direction OUTPUT)) (port ( rename SPO_17_ "SPO<17>") (direction OUTPUT)) (port ( rename SPO_18_ "SPO<18>") (direction OUTPUT)) (port ( rename SPO_19_ "SPO<19>") (direction OUTPUT)) (port ( rename SPO_20_ "SPO<20>") (direction OUTPUT)) (port ( rename SPO_21_ "SPO<21>") (direction OUTPUT)) (port ( rename SPO_22_ "SPO<22>") (direction OUTPUT)) (port ( rename SPO_23_ "SPO<23>") (direction OUTPUT)) (port ( rename SPO_24_ "SPO<24>") (direction OUTPUT)) (port ( rename SPO_25_ "SPO<25>") (direction OUTPUT)) (port ( rename SPO_26_ "SPO<26>") (direction OUTPUT)) (port ( rename SPO_27_ "SPO<27>") (direction OUTPUT)) (port ( rename SPO_28_ "SPO<28>") (direction OUTPUT)) (port ( rename SPO_29_ "SPO<29>") (direction OUTPUT)) (port ( rename SPO_30_ "SPO<30>") (direction OUTPUT)) (port ( rename SPO_31_ "SPO<31>") (direction OUTPUT)) ) (contents (instance VCC (viewRef view_1 (cellRef VCC (libraryRef xilinxun)))) (instance GND (viewRef view_1 (cellRef GND (libraryRef xilinxun)))) (instance BU0 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r32c0.S1")) (property INIT (string "4444")) ) (instance BU1 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r32c0.S0")) (property INIT (string "8888")) ) (instance BU2 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r31c0.S1")) (property INIT (string "0000")) ) (instance BU3 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r30c0.S1")) (property INIT (string "0000")) ) (instance BU4 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r29c0.S1")) (property INIT (string "0000")) ) (instance BU5 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r28c0.S1")) (property INIT (string "0000")) ) (instance BU6 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r27c0.S1")) (property INIT (string "0000")) ) (instance BU7 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r26c0.S1")) (property INIT (string "0000")) ) (instance BU8 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r25c0.S1")) (property INIT (string "0000")) ) (instance BU9 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r24c0.S1")) (property INIT (string "0000")) ) (instance BU10 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r23c0.S1")) (property INIT (string "0000")) ) (instance BU11 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r22c0.S1")) (property INIT (string "0000")) ) (instance BU12 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r21c0.S1")) (property INIT (string "0000")) ) (instance BU13 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r20c0.S1")) (property INIT (string "0000")) ) (instance BU14 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r19c0.S1")) (property INIT (string "0000")) ) (instance BU15 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r18c0.S1")) (property INIT (string "0000")) ) (instance BU16 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r17c0.S1")) (property INIT (string "0000")) ) (instance BU17 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r16c0.S1")) (property INIT (string "0000")) ) (instance BU18 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r15c0.S1")) (property INIT (string "0000")) ) (instance BU19 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r14c0.S1")) (property INIT (string "0000")) ) (instance BU20 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r13c0.S1")) (property INIT (string "0000")) ) (instance BU21 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r12c0.S1")) (property INIT (string "0000")) ) (instance BU22 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r11c0.S1")) (property INIT (string "0000")) ) (instance BU23 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r10c0.S1")) (property INIT (string "0000")) ) (instance BU24 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r9c0.S1")) (property INIT (string "0000")) ) (instance BU25 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r8c0.S1")) (property INIT (string "0000")) ) (instance BU26 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r7c0.S1")) (property INIT (string "0000")) ) (instance BU27 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r6c0.S1")) (property INIT (string "0000")) ) (instance BU28 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r5c0.S1")) (property INIT (string "0000")) ) (instance BU29 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r4c0.S1")) (property INIT (string "0000")) ) (instance BU30 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r3c0.S1")) (property INIT (string "0000")) ) (instance BU31 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r2c0.S1")) (property INIT (string "0000")) ) (instance BU32 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r1c0.S1")) (property INIT (string "0000")) ) (instance BU33 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r0c0.S1")) (property INIT (string "0000")) ) (instance BU34 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r31c0.S0")) (property INIT (string "0000")) ) (instance BU35 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r30c0.S0")) (property INIT (string "0000")) ) (instance BU36 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r29c0.S0")) (property INIT (string "0000")) ) (instance BU37 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r28c0.S0")) (property INIT (string "0000")) ) (instance BU38 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r27c0.S0")) (property INIT (string "0000")) ) (instance BU39 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r26c0.S0")) (property INIT (string "0000")) ) (instance BU40 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r25c0.S0")) (property INIT (string "0000")) ) (instance BU41 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r24c0.S0")) (property INIT (string "0000")) ) (instance BU42 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r23c0.S0")) (property INIT (string "0000")) ) (instance BU43 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r22c0.S0")) (property INIT (string "0000")) ) (instance BU44 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r21c0.S0")) (property INIT (string "0000")) ) (instance BU45 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r20c0.S0")) (property INIT (string "0000")) ) (instance BU46 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r19c0.S0")) (property INIT (string "0000")) ) (instance BU47 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r18c0.S0")) (property INIT (string "0000")) ) (instance BU48 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r17c0.S0")) (property INIT (string "0000")) ) (instance BU49 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r16c0.S0")) (property INIT (string "0000")) ) (instance BU50 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r15c0.S0")) (property INIT (string "0000")) ) (instance BU51 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r14c0.S0")) (property INIT (string "0000")) ) (instance BU52 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r13c0.S0")) (property INIT (string "0000")) ) (instance BU53 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r12c0.S0")) (property INIT (string "0000")) ) (instance BU54 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r11c0.S0")) (property INIT (string "0000")) ) (instance BU55 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r10c0.S0")) (property INIT (string "0000")) ) (instance BU56 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r9c0.S0")) (property INIT (string "0000")) ) (instance BU57 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r8c0.S0")) (property INIT (string "0000")) ) (instance BU58 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r7c0.S0")) (property INIT (string "0000")) ) (instance BU59 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r6c0.S0")) (property INIT (string "0000")) ) (instance BU60 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r5c0.S0")) (property INIT (string "0000")) ) (instance BU61 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r4c0.S0")) (property INIT (string "0000")) ) (instance BU62 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r3c0.S0")) (property INIT (string "0000")) ) (instance BU63 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r2c0.S0")) (property INIT (string "0000")) ) (instance BU64 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r1c0.S0")) (property INIT (string "0000")) ) (instance BU65 (viewRef view_1 (cellRef RAM16X1D (libraryRef xilinxun))) (property RLOC (string "r0c0.S0")) (property INIT (string "0000")) ) (instance BU66 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r31c1.S1")) (property INIT (string "caca")) ) (instance BU67 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r31c1.S1")) (property INIT (string "caca")) ) (instance BU68 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r30c1.S1")) (property INIT (string "caca")) ) (instance BU69 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r30c1.S1")) (property INIT (string "caca")) ) (instance BU70 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r29c1.S1")) (property INIT (string "caca")) ) (instance BU71 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r29c1.S1")) (property INIT (string "caca")) ) (instance BU72 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r28c1.S1")) (property INIT (string "caca")) ) (instance BU73 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r28c1.S1")) (property INIT (string "caca")) ) (instance BU74 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r27c1.S1")) (property INIT (string "caca")) ) (instance BU75 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r27c1.S1")) (property INIT (string "caca")) ) (instance BU76 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r26c1.S1")) (property INIT (string "caca")) ) (instance BU77 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r26c1.S1")) (property INIT (string "caca")) ) (instance BU78 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r25c1.S1")) (property INIT (string "caca")) ) (instance BU79 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r25c1.S1")) (property INIT (string "caca")) ) (instance BU80 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r24c1.S1")) (property INIT (string "caca")) ) (instance BU81 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r24c1.S1")) (property INIT (string "caca")) ) (instance BU82 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r23c1.S1")) (property INIT (string "caca")) ) (instance BU83 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r23c1.S1")) (property INIT (string "caca")) ) (instance BU84 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r22c1.S1")) (property INIT (string "caca")) ) (instance BU85 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r22c1.S1")) (property INIT (string "caca")) ) (instance BU86 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r21c1.S1")) (property INIT (string "caca")) ) (instance BU87 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r21c1.S1")) (property INIT (string "caca")) ) (instance BU88 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r20c1.S1")) (property INIT (string "caca")) ) (instance BU89 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r20c1.S1")) (property INIT (string "caca")) ) (instance BU90 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r19c1.S1")) (property INIT (string "caca")) ) (instance BU91 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r19c1.S1")) (property INIT (string "caca")) ) (instance BU92 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r18c1.S1")) (property INIT (string "caca")) ) (instance BU93 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r18c1.S1")) (property INIT (string "caca")) ) (instance BU94 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r17c1.S1")) (property INIT (string "caca")) ) (instance BU95 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r17c1.S1")) (property INIT (string "caca")) ) (instance BU96 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r16c1.S1")) (property INIT (string "caca")) ) (instance BU97 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r16c1.S1")) (property INIT (string "caca")) ) (instance BU98 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r15c1.S1")) (property INIT (string "caca")) ) (instance BU99 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r15c1.S1")) (property INIT (string "caca")) ) (instance BU100 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r14c1.S1")) (property INIT (string "caca")) ) (instance BU101 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r14c1.S1")) (property INIT (string "caca")) ) (instance BU102 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r13c1.S1")) (property INIT (string "caca")) ) (instance BU103 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r13c1.S1")) (property INIT (string "caca")) ) (instance BU104 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r12c1.S1")) (property INIT (string "caca")) ) (instance BU105 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r12c1.S1")) (property INIT (string "caca")) ) (instance BU106 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r11c1.S1")) (property INIT (string "caca")) ) (instance BU107 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r11c1.S1")) (property INIT (string "caca")) ) (instance BU108 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r10c1.S1")) (property INIT (string "caca")) ) (instance BU109 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r10c1.S1")) (property INIT (string "caca")) ) (instance BU110 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r9c1.S1")) (property INIT (string "caca")) ) (instance BU111 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r9c1.S1")) (property INIT (string "caca")) ) (instance BU112 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r8c1.S1")) (property INIT (string "caca")) ) (instance BU113 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r8c1.S1")) (property INIT (string "caca")) ) (instance BU114 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r7c1.S1")) (property INIT (string "caca")) ) (instance BU115 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r7c1.S1")) (property INIT (string "caca")) ) (instance BU116 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r6c1.S1")) (property INIT (string "caca")) ) (instance BU117 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r6c1.S1")) (property INIT (string "caca")) ) (instance BU118 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r5c1.S1")) (property INIT (string "caca")) ) (instance BU119 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r5c1.S1")) (property INIT (string "caca")) ) (instance BU120 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r4c1.S1")) (property INIT (string "caca")) ) (instance BU121 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r4c1.S1")) (property INIT (string "caca")) ) (instance BU122 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r3c1.S1")) (property INIT (string "caca")) ) (instance BU123 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r3c1.S1")) (property INIT (string "caca")) ) (instance BU124 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r2c1.S1")) (property INIT (string "caca")) ) (instance BU125 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r2c1.S1")) (property INIT (string "caca")) ) (instance BU126 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r1c1.S1")) (property INIT (string "caca")) ) (instance BU127 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r1c1.S1")) (property INIT (string "caca")) ) (instance BU128 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r0c1.S1")) (property INIT (string "caca")) ) (instance BU129 (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property RLOC (string "r0c1.S1")) (property INIT (string "caca")) ) (net N276 (joined (portRef DPO (instanceRef BU33)) (portRef I0 (instanceRef BU129)) ) ) (net N275 (joined (portRef DPO (instanceRef BU32)) (portRef I0 (instanceRef BU128)) ) ) (net N274 (joined (portRef DPO (instanceRef BU31)) (portRef I0 (instanceRef BU127)) ) ) (net N273 (joined (portRef DPO (instanceRef BU30)) (portRef I0 (instanceRef BU126)) ) ) (net N272 (joined (portRef DPO (instanceRef BU29)) (portRef I0 (instanceRef BU125)) ) ) (net N271 (joined (portRef DPO (instanceRef BU28)) (portRef I0 (instanceRef BU124)) ) ) (net N270 (joined (portRef DPO (instanceRef BU27)) (portRef I0 (instanceRef BU123)) ) ) (net N269 (joined (portRef DPO (instanceRef BU26)) (portRef I0 (instanceRef BU122)) ) ) (net N268 (joined (portRef DPO (instanceRef BU25)) (portRef I0 (instanceRef BU121)) ) ) (net N267 (joined (portRef DPO (instanceRef BU24)) (portRef I0 (instanceRef BU120)) ) ) (net N266 (joined (portRef DPO (instanceRef BU23)) (portRef I0 (instanceRef BU119)) ) ) (net N265 (joined (portRef DPO (instanceRef BU22)) (portRef I0 (instanceRef BU118)) ) ) (net N264 (joined (portRef DPO (instanceRef BU21)) (portRef I0 (instanceRef BU117)) ) ) (net N263 (joined (portRef DPO (instanceRef BU20)) (portRef I0 (instanceRef BU116)) ) ) (net N262 (joined (portRef DPO (instanceRef BU19)) (portRef I0 (instanceRef BU115)) ) ) (net N261 (joined (portRef DPO (instanceRef BU18)) (portRef I0 (instanceRef BU114)) ) ) (net N260 (joined (portRef DPO (instanceRef BU17)) (portRef I0 (instanceRef BU113)) ) ) (net N259 (joined (portRef DPO (instanceRef BU16)) (portRef I0 (instanceRef BU112)) ) ) (net N665 (joined (portRef DPO (instanceRef BU65)) (portRef I1 (instanceRef BU129)) ) ) (net N258 (joined (portRef DPO (instanceRef BU15)) (portRef I0 (instanceRef BU111)) ) ) (net N664 (joined (portRef DPO (instanceRef BU64)) (portRef I1 (instanceRef BU128)) ) ) (net N257 (joined (portRef DPO (instanceRef BU14)) (portRef I0 (instanceRef BU110)) ) ) (net N663 (joined (portRef DPO (instanceRef BU63)) (portRef I1 (instanceRef BU127)) ) ) (net N256 (joined (portRef DPO (instanceRef BU13)) (portRef I0 (instanceRef BU109)) ) ) (net N662 (joined (portRef DPO (instanceRef BU62)) (portRef I1 (instanceRef BU126)) ) ) (net N255 (joined (portRef DPO (instanceRef BU12)) (portRef I0 (instanceRef BU108)) ) ) (net N661 (joined (portRef DPO (instanceRef BU61)) (portRef I1 (instanceRef BU125)) ) ) (net N254 (joined (portRef DPO (instanceRef BU11)) (portRef I0 (instanceRef BU107)) ) ) (net N660 (joined (portRef DPO (instanceRef BU60)) (portRef I1 (instanceRef BU124)) ) ) (net N253 (joined (portRef DPO (instanceRef BU10)) (portRef I0 (instanceRef BU106)) ) ) (net N659 (joined (portRef DPO (instanceRef BU59)) (portRef I1 (instanceRef BU123)) ) ) (net N252 (joined (portRef DPO (instanceRef BU9)) (portRef I0 (instanceRef BU105)) ) ) (net N658 (joined (portRef DPO (instanceRef BU58)) (portRef I1 (instanceRef BU122)) ) ) (net N251 (joined (portRef DPO (instanceRef BU8)) (portRef I0 (instanceRef BU104)) ) ) (net N657 (joined (portRef DPO (instanceRef BU57)) (portRef I1 (instanceRef BU121)) ) ) (net N250 (joined (portRef DPO (instanceRef BU7)) (portRef I0 (instanceRef BU103)) ) ) (net N656 (joined (portRef DPO (instanceRef BU56)) (portRef I1 (instanceRef BU120)) ) ) (net N249 (joined (portRef DPO (instanceRef BU6)) (portRef I0 (instanceRef BU102)) ) ) (net N655 (joined (portRef DPO (instanceRef BU55)) (portRef I1 (instanceRef BU119)) ) ) (net N248 (joined (portRef DPO (instanceRef BU5)) (portRef I0 (instanceRef BU101)) ) ) (net N654 (joined (portRef DPO (instanceRef BU54)) (portRef I1 (instanceRef BU118)) ) ) (net N247 (joined (portRef DPO (instanceRef BU4)) (portRef I0 (instanceRef BU100)) ) ) (net N653 (joined (portRef DPO (instanceRef BU53)) (portRef I1 (instanceRef BU117)) ) ) (net N246 (joined (portRef DPO (instanceRef BU3)) (portRef I0 (instanceRef BU99)) ) ) (net N652 (joined (portRef DPO (instanceRef BU52)) (portRef I1 (instanceRef BU116)) ) ) (net N245 (joined (portRef DPO (instanceRef BU2)) (portRef I0 (instanceRef BU98)) ) ) (net N651 (joined (portRef DPO (instanceRef BU51)) (portRef I1 (instanceRef BU115)) ) ) (net N650 (joined (portRef DPO (instanceRef BU50)) (portRef I1 (instanceRef BU114)) ) ) (net N243 (joined (portRef SPO (instanceRef BU33)) (portRef I0 (instanceRef BU97)) ) ) (net N649 (joined (portRef DPO (instanceRef BU49)) (portRef I1 (instanceRef BU113)) ) ) (net N242 (joined (portRef SPO (instanceRef BU32)) (portRef I0 (instanceRef BU96)) ) ) (net N648 (joined (portRef DPO (instanceRef BU48)) (portRef I1 (instanceRef BU112)) ) ) (net N241 (joined (portRef SPO (instanceRef BU31)) (portRef I0 (instanceRef BU95)) ) ) (net N647 (joined (portRef DPO (instanceRef BU47)) (portRef I1 (instanceRef BU111)) ) ) (net N240 (joined (portRef SPO (instanceRef BU30)) (portRef I0 (instanceRef BU94)) ) ) (net N646 (joined (portRef DPO (instanceRef BU46)) (portRef I1 (instanceRef BU110)) ) ) (net N239 (joined (portRef SPO (instanceRef BU29)) (portRef I0 (instanceRef BU93)) ) ) (net N645 (joined (portRef DPO (instanceRef BU45)) (portRef I1 (instanceRef BU109)) ) ) (net N238 (joined (portRef SPO (instanceRef BU28)) (portRef I0 (instanceRef BU92)) ) ) (net N644 (joined (portRef DPO (instanceRef BU44)) (portRef I1 (instanceRef BU108)) ) ) (net N237 (joined (portRef SPO (instanceRef BU27)) (portRef I0 (instanceRef BU91)) ) ) (net N2147483641 (joined (portRef I2 (instanceRef BU0)) (portRef I3 (instanceRef BU0)) (portRef I2 (instanceRef BU1)) (portRef I3 (instanceRef BU1)) (portRef I3 (instanceRef BU66)) (portRef I3 (instanceRef BU67)) (portRef I3 (instanceRef BU68)) (portRef I3 (instanceRef BU69)) (portRef I3 (instanceRef BU70)) (portRef I3 (instanceRef BU71)) (portRef I3 (instanceRef BU72)) (portRef I3 (instanceRef BU73)) (portRef I3 (instanceRef BU74)) (portRef I3 (instanceRef BU75)) (portRef I3 (instanceRef BU76)) (portRef I3 (instanceRef BU77)) (portRef I3 (instanceRef BU78)) (portRef I3 (instanceRef BU79)) (portRef I3 (instanceRef BU80)) (portRef I3 (instanceRef BU81)) (portRef I3 (instanceRef BU82)) (portRef I3 (instanceRef BU83)) (portRef I3 (instanceRef BU84)) (portRef I3 (instanceRef BU85)) (portRef I3 (instanceRef BU86)) (portRef I3 (instanceRef BU87)) (portRef I3 (instanceRef BU88)) (portRef I3 (instanceRef BU89)) (portRef I3 (instanceRef BU90)) (portRef I3 (instanceRef BU91)) (portRef I3 (instanceRef BU92)) (portRef I3 (instanceRef BU93)) (portRef I3 (instanceRef BU94)) (portRef I3 (instanceRef BU95)) (portRef I3 (instanceRef BU96)) (portRef I3 (instanceRef BU97)) (portRef I3 (instanceRef BU98)) (portRef I3 (instanceRef BU99)) (portRef I3 (instanceRef BU100)) (portRef I3 (instanceRef BU101)) (portRef I3 (instanceRef BU102)) (portRef I3 (instanceRef BU103)) (portRef I3 (instanceRef BU104)) (portRef I3 (instanceRef BU105)) (portRef I3 (instanceRef BU106)) (portRef I3 (instanceRef BU107)) (portRef I3 (instanceRef BU108)) (portRef I3 (instanceRef BU109)) (portRef I3 (instanceRef BU110)) (portRef I3 (instanceRef BU111)) (portRef I3 (instanceRef BU112)) (portRef I3 (instanceRef BU113)) (portRef I3 (instanceRef BU114)) (portRef I3 (instanceRef BU115)) (portRef I3 (instanceRef BU116)) (portRef I3 (instanceRef BU117)) (portRef I3 (instanceRef BU118)) (portRef I3 (instanceRef BU119)) (portRef I3 (instanceRef BU120)) (portRef I3 (instanceRef BU121)) (portRef I3 (instanceRef BU122)) (portRef I3 (instanceRef BU123)) (portRef I3 (instanceRef BU124)) (portRef I3 (instanceRef BU125)) (portRef I3 (instanceRef BU126)) (portRef I3 (instanceRef BU127)) (portRef I3 (instanceRef BU128)) (portRef I3 (instanceRef BU129)) (portRef G (instanceRef GND)) ) ) (net N643 (joined (portRef DPO (instanceRef BU43)) (portRef I1 (instanceRef BU107)) ) ) (net N236 (joined (portRef SPO (instanceRef BU26)) (portRef I0 (instanceRef BU90)) ) ) (net N642 (joined (portRef DPO (instanceRef BU42)) (portRef I1 (instanceRef BU106)) ) ) (net N235 (joined (portRef SPO (instanceRef BU25)) (portRef I0 (instanceRef BU89)) ) ) (net N641 (joined (portRef DPO (instanceRef BU41)) (portRef I1 (instanceRef BU105)) ) ) (net N234 (joined (portRef SPO (instanceRef BU24)) (portRef I0 (instanceRef BU88)) ) ) (net N640 (joined (portRef DPO (instanceRef BU40)) (portRef I1 (instanceRef BU104)) ) ) (net N233 (joined (portRef SPO (instanceRef BU23)) (portRef I0 (instanceRef BU87)) ) ) (net N639 (joined (portRef DPO (instanceRef BU39)) (portRef I1 (instanceRef BU103)) ) ) (net N232 (joined (portRef SPO (instanceRef BU22)) (portRef I0 (instanceRef BU86)) ) ) (net N638 (joined (portRef DPO (instanceRef BU38)) (portRef I1 (instanceRef BU102)) ) ) (net N231 (joined (portRef SPO (instanceRef BU21)) (portRef I0 (instanceRef BU85)) ) ) (net N637 (joined (portRef DPO (instanceRef BU37)) (portRef I1 (instanceRef BU101)) ) ) (net N230 (joined (portRef SPO (instanceRef BU20)) (portRef I0 (instanceRef BU84)) ) ) (net N636 (joined (portRef DPO (instanceRef BU36)) (portRef I1 (instanceRef BU100)) ) ) (net N229 (joined (portRef SPO (instanceRef BU19)) (portRef I0 (instanceRef BU83)) ) ) (net N635 (joined (portRef DPO (instanceRef BU35)) (portRef I1 (instanceRef BU99)) ) ) (net N228 (joined (portRef SPO (instanceRef BU18)) (portRef I0 (instanceRef BU82)) ) ) (net N634 (joined (portRef DPO (instanceRef BU34)) (portRef I1 (instanceRef BU98)) ) ) (net N227 (joined (portRef SPO (instanceRef BU17)) (portRef I0 (instanceRef BU81)) ) ) (net N226 (joined (portRef SPO (instanceRef BU16)) (portRef I0 (instanceRef BU80)) ) ) (net N632 (joined (portRef SPO (instanceRef BU65)) (portRef I1 (instanceRef BU97)) ) ) (net N225 (joined (portRef SPO (instanceRef BU15)) (portRef I0 (instanceRef BU79)) ) ) (net N631 (joined (portRef SPO (instanceRef BU64)) (portRef I1 (instanceRef BU96)) ) ) (net N224 (joined (portRef SPO (instanceRef BU14)) (portRef I0 (instanceRef BU78)) ) ) (net N630 (joined (portRef SPO (instanceRef BU63)) (portRef I1 (instanceRef BU95)) ) ) (net N223 (joined (portRef SPO (instanceRef BU13)) (portRef I0 (instanceRef BU77)) ) ) (net N629 (joined (portRef SPO (instanceRef BU62)) (portRef I1 (instanceRef BU94)) ) ) (net N222 (joined (portRef SPO (instanceRef BU12)) (portRef I0 (instanceRef BU76)) ) ) (net N628 (joined (portRef SPO (instanceRef BU61)) (portRef I1 (instanceRef BU93)) ) ) (net N221 (joined (portRef SPO (instanceRef BU11)) (portRef I0 (instanceRef BU75)) ) ) (net N627 (joined (portRef SPO (instanceRef BU60)) (portRef I1 (instanceRef BU92)) ) ) (net N220 (joined (portRef SPO (instanceRef BU10)) (portRef I0 (instanceRef BU74)) ) ) (net N626 (joined (portRef SPO (instanceRef BU59)) (portRef I1 (instanceRef BU91)) ) ) (net N219 (joined (portRef SPO (instanceRef BU9)) (portRef I0 (instanceRef BU73)) ) ) (net N625 (joined (portRef SPO (instanceRef BU58)) (portRef I1 (instanceRef BU90)) ) ) (net N218 (joined (portRef SPO (instanceRef BU8)) (portRef I0 (instanceRef BU72)) ) ) (net N624 (joined (portRef SPO (instanceRef BU57)) (portRef I1 (instanceRef BU89)) ) ) (net N217 (joined (portRef SPO (instanceRef BU7)) (portRef I0 (instanceRef BU71)) ) ) (net N623 (joined (portRef SPO (instanceRef BU56)) (portRef I1 (instanceRef BU88)) ) ) (net N216 (joined (portRef SPO (instanceRef BU6)) (portRef I0 (instanceRef BU70)) ) ) (net N622 (joined (portRef SPO (instanceRef BU55)) (portRef I1 (instanceRef BU87)) ) ) (net N215 (joined (portRef SPO (instanceRef BU5)) (portRef I0 (instanceRef BU69)) ) ) (net N621 (joined (portRef SPO (instanceRef BU54)) (portRef I1 (instanceRef BU86)) ) ) (net N214 (joined (portRef SPO (instanceRef BU4)) (portRef I0 (instanceRef BU68)) ) ) (net N620 (joined (portRef SPO (instanceRef BU53)) (portRef I1 (instanceRef BU85)) ) ) (net N213 (joined (portRef SPO (instanceRef BU3)) (portRef I0 (instanceRef BU67)) ) ) (net N619 (joined (portRef SPO (instanceRef BU52)) (portRef I1 (instanceRef BU84)) ) ) (net N212 (joined (portRef SPO (instanceRef BU2)) (portRef I0 (instanceRef BU66)) ) ) (net N618 (joined (portRef SPO (instanceRef BU51)) (portRef I1 (instanceRef BU83)) ) ) (net N617 (joined (portRef SPO (instanceRef BU50)) (portRef I1 (instanceRef BU82)) ) ) (net N616 (joined (portRef SPO (instanceRef BU49)) (portRef I1 (instanceRef BU81)) ) ) (net N615 (joined (portRef SPO (instanceRef BU48)) (portRef I1 (instanceRef BU80)) ) ) (net N614 (joined (portRef SPO (instanceRef BU47)) (portRef I1 (instanceRef BU79)) ) ) (net N613 (joined (portRef SPO (instanceRef BU46)) (portRef I1 (instanceRef BU78)) ) ) (net N612 (joined (portRef SPO (instanceRef BU45)) (portRef I1 (instanceRef BU77)) ) ) (net N611 (joined (portRef SPO (instanceRef BU44)) (portRef I1 (instanceRef BU76)) ) ) (net N610 (joined (portRef SPO (instanceRef BU43)) (portRef I1 (instanceRef BU75)) ) ) (net N609 (joined (portRef SPO (instanceRef BU42)) (portRef I1 (instanceRef BU74)) ) ) (net N608 (joined (portRef SPO (instanceRef BU41)) (portRef I1 (instanceRef BU73)) ) ) (net N607 (joined (portRef SPO (instanceRef BU40)) (portRef I1 (instanceRef BU72)) ) ) (net N606 (joined (portRef SPO (instanceRef BU39)) (portRef I1 (instanceRef BU71)) ) ) (net N605 (joined (portRef SPO (instanceRef BU38)) (portRef I1 (instanceRef BU70)) ) ) (net N604 (joined (portRef SPO (instanceRef BU37)) (portRef I1 (instanceRef BU69)) ) ) (net N603 (joined (portRef SPO (instanceRef BU36)) (portRef I1 (instanceRef BU68)) ) ) (net N602 (joined (portRef SPO (instanceRef BU35)) (portRef I1 (instanceRef BU67)) ) ) (net N601 (joined (portRef SPO (instanceRef BU34)) (portRef I1 (instanceRef BU66)) ) ) (net N124 (joined (portRef O (instanceRef BU1)) (portRef WE (instanceRef BU34)) (portRef WE (instanceRef BU35)) (portRef WE (instanceRef BU36)) (portRef WE (instanceRef BU37)) (portRef WE (instanceRef BU38)) (portRef WE (instanceRef BU39)) (portRef WE (instanceRef BU40)) (portRef WE (instanceRef BU41)) (portRef WE (instanceRef BU42)) (portRef WE (instanceRef BU43)) (portRef WE (instanceRef BU44)) (portRef WE (instanceRef BU45)) (portRef WE (instanceRef BU46)) (portRef WE (instanceRef BU47)) (portRef WE (instanceRef BU48)) (portRef WE (instanceRef BU49)) (portRef WE (instanceRef BU50)) (portRef WE (instanceRef BU51)) (portRef WE (instanceRef BU52)) (portRef WE (instanceRef BU53)) (portRef WE (instanceRef BU54)) (portRef WE (instanceRef BU55)) (portRef WE (instanceRef BU56)) (portRef WE (instanceRef BU57)) (portRef WE (instanceRef BU58)) (portRef WE (instanceRef BU59)) (portRef WE (instanceRef BU60)) (portRef WE (instanceRef BU61)) (portRef WE (instanceRef BU62)) (portRef WE (instanceRef BU63)) (portRef WE (instanceRef BU64)) (portRef WE (instanceRef BU65)) ) ) (net N123 (joined (portRef O (instanceRef BU0)) (portRef WE (instanceRef BU2)) (portRef WE (instanceRef BU3)) (portRef WE (instanceRef BU4)) (portRef WE (instanceRef BU5)) (portRef WE (instanceRef BU6)) (portRef WE (instanceRef BU7)) (portRef WE (instanceRef BU8)) (portRef WE (instanceRef BU9)) (portRef WE (instanceRef BU10)) (portRef WE (instanceRef BU11)) (portRef WE (instanceRef BU12)) (portRef WE (instanceRef BU13)) (portRef WE (instanceRef BU14)) (portRef WE (instanceRef BU15)) (portRef WE (instanceRef BU16)) (portRef WE (instanceRef BU17)) (portRef WE (instanceRef BU18)) (portRef WE (instanceRef BU19)) (portRef WE (instanceRef BU20)) (portRef WE (instanceRef BU21)) (portRef WE (instanceRef BU22)) (portRef WE (instanceRef BU23)) (portRef WE (instanceRef BU24)) (portRef WE (instanceRef BU25)) (portRef WE (instanceRef BU26)) (portRef WE (instanceRef BU27)) (portRef WE (instanceRef BU28)) (portRef WE (instanceRef BU29)) (portRef WE (instanceRef BU30)) (portRef WE (instanceRef BU31)) (portRef WE (instanceRef BU32)) (portRef WE (instanceRef BU33)) ) ) (net (rename N115 "SPO<31>") (joined (portRef SPO_31_) (portRef O (instanceRef BU97)) ) ) (net (rename N114 "SPO<30>") (joined (portRef SPO_30_) (portRef O (instanceRef BU96)) ) ) (net (rename N113 "SPO<29>") (joined (portRef SPO_29_) (portRef O (instanceRef BU95)) ) ) (net (rename N112 "SPO<28>") (joined (portRef SPO_28_) (portRef O (instanceRef BU94)) ) ) (net (rename N111 "SPO<27>") (joined (portRef SPO_27_) (portRef O (instanceRef BU93)) ) ) (net (rename N110 "SPO<26>") (joined (portRef SPO_26_) (portRef O (instanceRef BU92)) ) ) (net (rename N109 "SPO<25>") (joined (portRef SPO_25_) (portRef O (instanceRef BU91)) ) ) (net (rename N108 "SPO<24>") (joined (portRef SPO_24_) (portRef O (instanceRef BU90)) ) ) (net (rename N107 "SPO<23>") (joined (portRef SPO_23_) (portRef O (instanceRef BU89)) ) ) (net (rename N106 "SPO<22>") (joined (portRef SPO_22_) (portRef O (instanceRef BU88)) ) ) (net (rename N105 "SPO<21>") (joined (portRef SPO_21_) (portRef O (instanceRef BU87)) ) ) (net (rename N104 "SPO<20>") (joined (portRef SPO_20_) (portRef O (instanceRef BU86)) ) ) (net (rename N103 "SPO<19>") (joined (portRef SPO_19_) (portRef O (instanceRef BU85)) ) ) (net (rename N102 "SPO<18>") (joined (portRef SPO_18_) (portRef O (instanceRef BU84)) ) ) (net (rename N101 "SPO<17>") (joined (portRef SPO_17_) (portRef O (instanceRef BU83)) ) ) (net (rename N100 "SPO<16>") (joined (portRef SPO_16_) (portRef O (instanceRef BU82)) ) ) (net (rename N99 "SPO<15>") (joined (portRef SPO_15_) (portRef O (instanceRef BU81)) ) ) (net (rename N98 "SPO<14>") (joined (portRef SPO_14_) (portRef O (instanceRef BU80)) ) ) (net (rename N97 "SPO<13>") (joined (portRef SPO_13_) (portRef O (instanceRef BU79)) ) ) (net (rename N96 "SPO<12>") (joined (portRef SPO_12_) (portRef O (instanceRef BU78)) ) ) (net (rename N95 "SPO<11>") (joined (portRef SPO_11_) (portRef O (instanceRef BU77)) ) ) (net (rename N94 "SPO<10>") (joined (portRef SPO_10_) (portRef O (instanceRef BU76)) ) ) (net (rename N93 "SPO<9>") (joined (portRef SPO_9_) (portRef O (instanceRef BU75)) ) ) (net (rename N92 "SPO<8>") (joined (portRef SPO_8_) (portRef O (instanceRef BU74)) ) ) (net (rename N91 "SPO<7>") (joined (portRef SPO_7_) (portRef O (instanceRef BU73)) ) ) (net (rename N90 "SPO<6>") (joined (portRef SPO_6_) (portRef O (instanceRef BU72)) ) ) (net (rename N89 "SPO<5>") (joined (portRef SPO_5_) (portRef O (instanceRef BU71)) ) ) (net (rename N88 "SPO<4>") (joined (portRef SPO_4_) (portRef O (instanceRef BU70)) ) ) (net (rename N87 "SPO<3>") (joined (portRef SPO_3_) (portRef O (instanceRef BU69)) ) ) (net (rename N86 "SPO<2>") (joined (portRef SPO_2_) (portRef O (instanceRef BU68)) ) ) (net (rename N85 "SPO<1>") (joined (portRef SPO_1_) (portRef O (instanceRef BU67)) ) ) (net (rename N84 "SPO<0>") (joined (portRef SPO_0_) (portRef O (instanceRef BU66)) ) ) (net (rename N82 "DPO<31>") (joined (portRef DPO_31_) (portRef O (instanceRef BU129)) ) ) (net (rename N81 "DPO<30>") (joined (portRef DPO_30_) (portRef O (instanceRef BU128)) ) ) (net (rename N80 "DPO<29>") (joined (portRef DPO_29_) (portRef O (instanceRef BU127)) ) ) (net (rename N79 "DPO<28>") (joined (portRef DPO_28_) (portRef O (instanceRef BU126)) ) ) (net (rename N78 "DPO<27>") (joined (portRef DPO_27_) (portRef O (instanceRef BU125)) ) ) (net (rename N77 "DPO<26>") (joined (portRef DPO_26_) (portRef O (instanceRef BU124)) ) ) (net (rename N76 "DPO<25>") (joined (portRef DPO_25_) (portRef O (instanceRef BU123)) ) ) (net (rename N75 "DPO<24>") (joined (portRef DPO_24_) (portRef O (instanceRef BU122)) ) ) (net (rename N74 "DPO<23>") (joined (portRef DPO_23_) (portRef O (instanceRef BU121)) ) ) (net (rename N73 "DPO<22>") (joined (portRef DPO_22_) (portRef O (instanceRef BU120)) ) ) (net (rename N72 "DPO<21>") (joined (portRef DPO_21_) (portRef O (instanceRef BU119)) ) ) (net (rename N71 "DPO<20>") (joined (portRef DPO_20_) (portRef O (instanceRef BU118)) ) ) (net (rename N70 "DPO<19>") (joined (portRef DPO_19_) (portRef O (instanceRef BU117)) ) ) (net (rename N69 "DPO<18>") (joined (portRef DPO_18_) (portRef O (instanceRef BU116)) ) ) (net (rename N68 "DPO<17>") (joined (portRef DPO_17_) (portRef O (instanceRef BU115)) ) ) (net (rename N67 "DPO<16>") (joined (portRef DPO_16_) (portRef O (instanceRef BU114)) ) ) (net (rename N66 "DPO<15>") (joined (portRef DPO_15_) (portRef O (instanceRef BU113)) ) ) (net (rename N65 "DPO<14>") (joined (portRef DPO_14_) (portRef O (instanceRef BU112)) ) ) (net (rename N64 "DPO<13>") (joined (portRef DPO_13_) (portRef O (instanceRef BU111)) ) ) (net (rename N63 "DPO<12>") (joined (portRef DPO_12_) (portRef O (instanceRef BU110)) ) ) (net (rename N62 "DPO<11>") (joined (portRef DPO_11_) (portRef O (instanceRef BU109)) ) ) (net (rename N61 "DPO<10>") (joined (portRef DPO_10_) (portRef O (instanceRef BU108)) ) ) (net (rename N60 "DPO<9>") (joined (portRef DPO_9_) (portRef O (instanceRef BU107)) ) ) (net (rename N59 "DPO<8>") (joined (portRef DPO_8_) (portRef O (instanceRef BU106)) ) ) (net (rename N58 "DPO<7>") (joined (portRef DPO_7_) (portRef O (instanceRef BU105)) ) ) (net (rename N57 "DPO<6>") (joined (portRef DPO_6_) (portRef O (instanceRef BU104)) ) ) (net (rename N56 "DPO<5>") (joined (portRef DPO_5_) (portRef O (instanceRef BU103)) ) ) (net (rename N55 "DPO<4>") (joined (portRef DPO_4_) (portRef O (instanceRef BU102)) ) ) (net (rename N54 "DPO<3>") (joined (portRef DPO_3_) (portRef O (instanceRef BU101)) ) ) (net (rename N53 "DPO<2>") (joined (portRef DPO_2_) (portRef O (instanceRef BU100)) ) ) (net (rename N52 "DPO<1>") (joined (portRef DPO_1_) (portRef O (instanceRef BU99)) ) ) (net (rename N51 "DPO<0>") (joined (portRef DPO_0_) (portRef O (instanceRef BU98)) ) ) (net (rename N49 "DPRA<4>") (joined (portRef DPRA_4_) (portRef I2 (instanceRef BU98)) (portRef I2 (instanceRef BU99)) (portRef I2 (instanceRef BU100)) (portRef I2 (instanceRef BU101)) (portRef I2 (instanceRef BU102)) (portRef I2 (instanceRef BU103)) (portRef I2 (instanceRef BU104)) (portRef I2 (instanceRef BU105)) (portRef I2 (instanceRef BU106)) (portRef I2 (instanceRef BU107)) (portRef I2 (instanceRef BU108)) (portRef I2 (instanceRef BU109)) (portRef I2 (instanceRef BU110)) (portRef I2 (instanceRef BU111)) (portRef I2 (instanceRef BU112)) (portRef I2 (instanceRef BU113)) (portRef I2 (instanceRef BU114)) (portRef I2 (instanceRef BU115)) (portRef I2 (instanceRef BU116)) (portRef I2 (instanceRef BU117)) (portRef I2 (instanceRef BU118)) (portRef I2 (instanceRef BU119)) (portRef I2 (instanceRef BU120)) (portRef I2 (instanceRef BU121)) (portRef I2 (instanceRef BU122)) (portRef I2 (instanceRef BU123)) (portRef I2 (instanceRef BU124)) (portRef I2 (instanceRef BU125)) (portRef I2 (instanceRef BU126)) (portRef I2 (instanceRef BU127)) (portRef I2 (instanceRef BU128)) (portRef I2 (instanceRef BU129)) ) ) (net (rename N48 "DPRA<3>") (joined (portRef DPRA_3_) (portRef DPRA3 (instanceRef BU2)) (portRef DPRA3 (instanceRef BU3)) (portRef DPRA3 (instanceRef BU4)) (portRef DPRA3 (instanceRef BU5)) (portRef DPRA3 (instanceRef BU6)) (portRef DPRA3 (instanceRef BU7)) (portRef DPRA3 (instanceRef BU8)) (portRef DPRA3 (instanceRef BU9)) (portRef DPRA3 (instanceRef BU10)) (portRef DPRA3 (instanceRef BU11)) (portRef DPRA3 (instanceRef BU12)) (portRef DPRA3 (instanceRef BU13)) (portRef DPRA3 (instanceRef BU14)) (portRef DPRA3 (instanceRef BU15)) (portRef DPRA3 (instanceRef BU16)) (portRef DPRA3 (instanceRef BU17)) (portRef DPRA3 (instanceRef BU18)) (portRef DPRA3 (instanceRef BU19)) (portRef DPRA3 (instanceRef BU20)) (portRef DPRA3 (instanceRef BU21)) (portRef DPRA3 (instanceRef BU22)) (portRef DPRA3 (instanceRef BU23)) (portRef DPRA3 (instanceRef BU24)) (portRef DPRA3 (instanceRef BU25)) (portRef DPRA3 (instanceRef BU26)) (portRef DPRA3 (instanceRef BU27)) (portRef DPRA3 (instanceRef BU28)) (portRef DPRA3 (instanceRef BU29)) (portRef DPRA3 (instanceRef BU30)) (portRef DPRA3 (instanceRef BU31)) (portRef DPRA3 (instanceRef BU32)) (portRef DPRA3 (instanceRef BU33)) (portRef DPRA3 (instanceRef BU34)) (portRef DPRA3 (instanceRef BU35)) (portRef DPRA3 (instanceRef BU36)) (portRef DPRA3 (instanceRef BU37)) (portRef DPRA3 (instanceRef BU38)) (portRef DPRA3 (instanceRef BU39)) (portRef DPRA3 (instanceRef BU40)) (portRef DPRA3 (instanceRef BU41)) (portRef DPRA3 (instanceRef BU42)) (portRef DPRA3 (instanceRef BU43)) (portRef DPRA3 (instanceRef BU44)) (portRef DPRA3 (instanceRef BU45)) (portRef DPRA3 (instanceRef BU46)) (portRef DPRA3 (instanceRef BU47)) (portRef DPRA3 (instanceRef BU48)) (portRef DPRA3 (instanceRef BU49)) (portRef DPRA3 (instanceRef BU50)) (portRef DPRA3 (instanceRef BU51)) (portRef DPRA3 (instanceRef BU52)) (portRef DPRA3 (instanceRef BU53)) (portRef DPRA3 (instanceRef BU54)) (portRef DPRA3 (instanceRef BU55)) (portRef DPRA3 (instanceRef BU56)) (portRef DPRA3 (instanceRef BU57)) (portRef DPRA3 (instanceRef BU58)) (portRef DPRA3 (instanceRef BU59)) (portRef DPRA3 (instanceRef BU60)) (portRef DPRA3 (instanceRef BU61)) (portRef DPRA3 (instanceRef BU62)) (portRef DPRA3 (instanceRef BU63)) (portRef DPRA3 (instanceRef BU64)) (portRef DPRA3 (instanceRef BU65)) ) ) (net (rename N47 "DPRA<2>") (joined (portRef DPRA_2_) (portRef DPRA2 (instanceRef BU2)) (portRef DPRA2 (instanceRef BU3)) (portRef DPRA2 (instanceRef BU4)) (portRef DPRA2 (instanceRef BU5)) (portRef DPRA2 (instanceRef BU6)) (portRef DPRA2 (instanceRef BU7)) (portRef DPRA2 (instanceRef BU8)) (portRef DPRA2 (instanceRef BU9)) (portRef DPRA2 (instanceRef BU10)) (portRef DPRA2 (instanceRef BU11)) (portRef DPRA2 (instanceRef BU12)) (portRef DPRA2 (instanceRef BU13)) (portRef DPRA2 (instanceRef BU14)) (portRef DPRA2 (instanceRef BU15)) (portRef DPRA2 (instanceRef BU16)) (portRef DPRA2 (instanceRef BU17)) (portRef DPRA2 (instanceRef BU18)) (portRef DPRA2 (instanceRef BU19)) (portRef DPRA2 (instanceRef BU20)) (portRef DPRA2 (instanceRef BU21)) (portRef DPRA2 (instanceRef BU22)) (portRef DPRA2 (instanceRef BU23)) (portRef DPRA2 (instanceRef BU24)) (portRef DPRA2 (instanceRef BU25)) (portRef DPRA2 (instanceRef BU26)) (portRef DPRA2 (instanceRef BU27)) (portRef DPRA2 (instanceRef BU28)) (portRef DPRA2 (instanceRef BU29)) (portRef DPRA2 (instanceRef BU30)) (portRef DPRA2 (instanceRef BU31)) (portRef DPRA2 (instanceRef BU32)) (portRef DPRA2 (instanceRef BU33)) (portRef DPRA2 (instanceRef BU34)) (portRef DPRA2 (instanceRef BU35)) (portRef DPRA2 (instanceRef BU36)) (portRef DPRA2 (instanceRef BU37)) (portRef DPRA2 (instanceRef BU38)) (portRef DPRA2 (instanceRef BU39)) (portRef DPRA2 (instanceRef BU40)) (portRef DPRA2 (instanceRef BU41)) (portRef DPRA2 (instanceRef BU42)) (portRef DPRA2 (instanceRef BU43)) (portRef DPRA2 (instanceRef BU44)) (portRef DPRA2 (instanceRef BU45)) (portRef DPRA2 (instanceRef BU46)) (portRef DPRA2 (instanceRef BU47)) (portRef DPRA2 (instanceRef BU48)) (portRef DPRA2 (instanceRef BU49)) (portRef DPRA2 (instanceRef BU50)) (portRef DPRA2 (instanceRef BU51)) (portRef DPRA2 (instanceRef BU52)) (portRef DPRA2 (instanceRef BU53)) (portRef DPRA2 (instanceRef BU54)) (portRef DPRA2 (instanceRef BU55)) (portRef DPRA2 (instanceRef BU56)) (portRef DPRA2 (instanceRef BU57)) (portRef DPRA2 (instanceRef BU58)) (portRef DPRA2 (instanceRef BU59)) (portRef DPRA2 (instanceRef BU60)) (portRef DPRA2 (instanceRef BU61)) (portRef DPRA2 (instanceRef BU62)) (portRef DPRA2 (instanceRef BU63)) (portRef DPRA2 (instanceRef BU64)) (portRef DPRA2 (instanceRef BU65)) ) ) (net (rename N46 "DPRA<1>") (joined (portRef DPRA_1_) (portRef DPRA1 (instanceRef BU2)) (portRef DPRA1 (instanceRef BU3)) (portRef DPRA1 (instanceRef BU4)) (portRef DPRA1 (instanceRef BU5)) (portRef DPRA1 (instanceRef BU6)) (portRef DPRA1 (instanceRef BU7)) (portRef DPRA1 (instanceRef BU8)) (portRef DPRA1 (instanceRef BU9)) (portRef DPRA1 (instanceRef BU10)) (portRef DPRA1 (instanceRef BU11)) (portRef DPRA1 (instanceRef BU12)) (portRef DPRA1 (instanceRef BU13)) (portRef DPRA1 (instanceRef BU14)) (portRef DPRA1 (instanceRef BU15)) (portRef DPRA1 (instanceRef BU16)) (portRef DPRA1 (instanceRef BU17)) (portRef DPRA1 (instanceRef BU18)) (portRef DPRA1 (instanceRef BU19)) (portRef DPRA1 (instanceRef BU20)) (portRef DPRA1 (instanceRef BU21)) (portRef DPRA1 (instanceRef BU22)) (portRef DPRA1 (instanceRef BU23)) (portRef DPRA1 (instanceRef BU24)) (portRef DPRA1 (instanceRef BU25)) (portRef DPRA1 (instanceRef BU26)) (portRef DPRA1 (instanceRef BU27)) (portRef DPRA1 (instanceRef BU28)) (portRef DPRA1 (instanceRef BU29)) (portRef DPRA1 (instanceRef BU30)) (portRef DPRA1 (instanceRef BU31)) (portRef DPRA1 (instanceRef BU32)) (portRef DPRA1 (instanceRef BU33)) (portRef DPRA1 (instanceRef BU34)) (portRef DPRA1 (instanceRef BU35)) (portRef DPRA1 (instanceRef BU36)) (portRef DPRA1 (instanceRef BU37)) (portRef DPRA1 (instanceRef BU38)) (portRef DPRA1 (instanceRef BU39)) (portRef DPRA1 (instanceRef BU40)) (portRef DPRA1 (instanceRef BU41)) (portRef DPRA1 (instanceRef BU42)) (portRef DPRA1 (instanceRef BU43)) (portRef DPRA1 (instanceRef BU44)) (portRef DPRA1 (instanceRef BU45)) (portRef DPRA1 (instanceRef BU46)) (portRef DPRA1 (instanceRef BU47)) (portRef DPRA1 (instanceRef BU48)) (portRef DPRA1 (instanceRef BU49)) (portRef DPRA1 (instanceRef BU50)) (portRef DPRA1 (instanceRef BU51)) (portRef DPRA1 (instanceRef BU52)) (portRef DPRA1 (instanceRef BU53)) (portRef DPRA1 (instanceRef BU54)) (portRef DPRA1 (instanceRef BU55)) (portRef DPRA1 (instanceRef BU56)) (portRef DPRA1 (instanceRef BU57)) (portRef DPRA1 (instanceRef BU58)) (portRef DPRA1 (instanceRef BU59)) (portRef DPRA1 (instanceRef BU60)) (portRef DPRA1 (instanceRef BU61)) (portRef DPRA1 (instanceRef BU62)) (portRef DPRA1 (instanceRef BU63)) (portRef DPRA1 (instanceRef BU64)) (portRef DPRA1 (instanceRef BU65)) ) ) (net (rename N45 "DPRA<0>") (joined (portRef DPRA_0_) (portRef DPRA0 (instanceRef BU2)) (portRef DPRA0 (instanceRef BU3)) (portRef DPRA0 (instanceRef BU4)) (portRef DPRA0 (instanceRef BU5)) (portRef DPRA0 (instanceRef BU6)) (portRef DPRA0 (instanceRef BU7)) (portRef DPRA0 (instanceRef BU8)) (portRef DPRA0 (instanceRef BU9)) (portRef DPRA0 (instanceRef BU10)) (portRef DPRA0 (instanceRef BU11)) (portRef DPRA0 (instanceRef BU12)) (portRef DPRA0 (instanceRef BU13)) (portRef DPRA0 (instanceRef BU14)) (portRef DPRA0 (instanceRef BU15)) (portRef DPRA0 (instanceRef BU16)) (portRef DPRA0 (instanceRef BU17)) (portRef DPRA0 (instanceRef BU18)) (portRef DPRA0 (instanceRef BU19)) (portRef DPRA0 (instanceRef BU20)) (portRef DPRA0 (instanceRef BU21)) (portRef DPRA0 (instanceRef BU22)) (portRef DPRA0 (instanceRef BU23)) (portRef DPRA0 (instanceRef BU24)) (portRef DPRA0 (instanceRef BU25)) (portRef DPRA0 (instanceRef BU26)) (portRef DPRA0 (instanceRef BU27)) (portRef DPRA0 (instanceRef BU28)) (portRef DPRA0 (instanceRef BU29)) (portRef DPRA0 (instanceRef BU30)) (portRef DPRA0 (instanceRef BU31)) (portRef DPRA0 (instanceRef BU32)) (portRef DPRA0 (instanceRef BU33)) (portRef DPRA0 (instanceRef BU34)) (portRef DPRA0 (instanceRef BU35)) (portRef DPRA0 (instanceRef BU36)) (portRef DPRA0 (instanceRef BU37)) (portRef DPRA0 (instanceRef BU38)) (portRef DPRA0 (instanceRef BU39)) (portRef DPRA0 (instanceRef BU40)) (portRef DPRA0 (instanceRef BU41)) (portRef DPRA0 (instanceRef BU42)) (portRef DPRA0 (instanceRef BU43)) (portRef DPRA0 (instanceRef BU44)) (portRef DPRA0 (instanceRef BU45)) (portRef DPRA0 (instanceRef BU46)) (portRef DPRA0 (instanceRef BU47)) (portRef DPRA0 (instanceRef BU48)) (portRef DPRA0 (instanceRef BU49)) (portRef DPRA0 (instanceRef BU50)) (portRef DPRA0 (instanceRef BU51)) (portRef DPRA0 (instanceRef BU52)) (portRef DPRA0 (instanceRef BU53)) (portRef DPRA0 (instanceRef BU54)) (portRef DPRA0 (instanceRef BU55)) (portRef DPRA0 (instanceRef BU56)) (portRef DPRA0 (instanceRef BU57)) (portRef DPRA0 (instanceRef BU58)) (portRef DPRA0 (instanceRef BU59)) (portRef DPRA0 (instanceRef BU60)) (portRef DPRA0 (instanceRef BU61)) (portRef DPRA0 (instanceRef BU62)) (portRef DPRA0 (instanceRef BU63)) (portRef DPRA0 (instanceRef BU64)) (portRef DPRA0 (instanceRef BU65)) ) ) (net (rename N43 "WE") (joined (portRef WE) (portRef I1 (instanceRef BU0)) (portRef I1 (instanceRef BU1)) ) ) (net (rename N41 "D<31>") (joined (portRef D_31_) (portRef D (instanceRef BU33)) (portRef D (instanceRef BU65)) ) ) (net (rename N40 "D<30>") (joined (portRef D_30_) (portRef D (instanceRef BU32)) (portRef D (instanceRef BU64)) ) ) (net (rename N39 "D<29>") (joined (portRef D_29_) (portRef D (instanceRef BU31)) (portRef D (instanceRef BU63)) ) ) (net (rename N38 "D<28>") (joined (portRef D_28_) (portRef D (instanceRef BU30)) (portRef D (instanceRef BU62)) ) ) (net (rename N37 "D<27>") (joined (portRef D_27_) (portRef D (instanceRef BU29)) (portRef D (instanceRef BU61)) ) ) (net (rename N36 "D<26>") (joined (portRef D_26_) (portRef D (instanceRef BU28)) (portRef D (instanceRef BU60)) ) ) (net (rename N35 "D<25>") (joined (portRef D_25_) (portRef D (instanceRef BU27)) (portRef D (instanceRef BU59)) ) ) (net (rename N34 "D<24>") (joined (portRef D_24_) (portRef D (instanceRef BU26)) (portRef D (instanceRef BU58)) ) ) (net (rename N33 "D<23>") (joined (portRef D_23_) (portRef D (instanceRef BU25)) (portRef D (instanceRef BU57)) ) ) (net (rename N32 "D<22>") (joined (portRef D_22_) (portRef D (instanceRef BU24)) (portRef D (instanceRef BU56)) ) ) (net (rename N31 "D<21>") (joined (portRef D_21_) (portRef D (instanceRef BU23)) (portRef D (instanceRef BU55)) ) ) (net (rename N30 "D<20>") (joined (portRef D_20_) (portRef D (instanceRef BU22)) (portRef D (instanceRef BU54)) ) ) (net (rename N29 "D<19>") (joined (portRef D_19_) (portRef D (instanceRef BU21)) (portRef D (instanceRef BU53)) ) ) (net (rename N28 "D<18>") (joined (portRef D_18_) (portRef D (instanceRef BU20)) (portRef D (instanceRef BU52)) ) ) (net (rename N27 "D<17>") (joined (portRef D_17_) (portRef D (instanceRef BU19)) (portRef D (instanceRef BU51)) ) ) (net (rename N26 "D<16>") (joined (portRef D_16_) (portRef D (instanceRef BU18)) (portRef D (instanceRef BU50)) ) ) (net (rename N25 "D<15>") (joined (portRef D_15_) (portRef D (instanceRef BU17)) (portRef D (instanceRef BU49)) ) ) (net (rename N24 "D<14>") (joined (portRef D_14_) (portRef D (instanceRef BU16)) (portRef D (instanceRef BU48)) ) ) (net (rename N23 "D<13>") (joined (portRef D_13_) (portRef D (instanceRef BU15)) (portRef D (instanceRef BU47)) ) ) (net (rename N22 "D<12>") (joined (portRef D_12_) (portRef D (instanceRef BU14)) (portRef D (instanceRef BU46)) ) ) (net (rename N21 "D<11>") (joined (portRef D_11_) (portRef D (instanceRef BU13)) (portRef D (instanceRef BU45)) ) ) (net (rename N20 "D<10>") (joined (portRef D_10_) (portRef D (instanceRef BU12)) (portRef D (instanceRef BU44)) ) ) (net (rename N19 "D<9>") (joined (portRef D_9_) (portRef D (instanceRef BU11)) (portRef D (instanceRef BU43)) ) ) (net (rename N18 "D<8>") (joined (portRef D_8_) (portRef D (instanceRef BU10)) (portRef D (instanceRef BU42)) ) ) (net (rename N17 "D<7>") (joined (portRef D_7_) (portRef D (instanceRef BU9)) (portRef D (instanceRef BU41)) ) ) (net (rename N16 "D<6>") (joined (portRef D_6_) (portRef D (instanceRef BU8)) (portRef D (instanceRef BU40)) ) ) (net (rename N15 "D<5>") (joined (portRef D_5_) (portRef D (instanceRef BU7)) (portRef D (instanceRef BU39)) ) ) (net (rename N14 "D<4>") (joined (portRef D_4_) (portRef D (instanceRef BU6)) (portRef D (instanceRef BU38)) ) ) (net (rename N13 "D<3>") (joined (portRef D_3_) (portRef D (instanceRef BU5)) (portRef D (instanceRef BU37)) ) ) (net (rename N12 "D<2>") (joined (portRef D_2_) (portRef D (instanceRef BU4)) (portRef D (instanceRef BU36)) ) ) (net (rename N11 "D<1>") (joined (portRef D_1_) (portRef D (instanceRef BU3)) (portRef D (instanceRef BU35)) ) ) (net (rename N10 "D<0>") (joined (portRef D_0_) (portRef D (instanceRef BU2)) (portRef D (instanceRef BU34)) ) ) (net (rename N8 "CLK") (joined (portRef CLK) (portRef WCLK (instanceRef BU2)) (portRef WCLK (instanceRef BU3)) (portRef WCLK (instanceRef BU4)) (portRef WCLK (instanceRef BU5)) (portRef WCLK (instanceRef BU6)) (portRef WCLK (instanceRef BU7)) (portRef WCLK (instanceRef BU8)) (portRef WCLK (instanceRef BU9)) (portRef WCLK (instanceRef BU10)) (portRef WCLK (instanceRef BU11)) (portRef WCLK (instanceRef BU12)) (portRef WCLK (instanceRef BU13)) (portRef WCLK (instanceRef BU14)) (portRef WCLK (instanceRef BU15)) (portRef WCLK (instanceRef BU16)) (portRef WCLK (instanceRef BU17)) (portRef WCLK (instanceRef BU18)) (portRef WCLK (instanceRef BU19)) (portRef WCLK (instanceRef BU20)) (portRef WCLK (instanceRef BU21)) (portRef WCLK (instanceRef BU22)) (portRef WCLK (instanceRef BU23)) (portRef WCLK (instanceRef BU24)) (portRef WCLK (instanceRef BU25)) (portRef WCLK (instanceRef BU26)) (portRef WCLK (instanceRef BU27)) (portRef WCLK (instanceRef BU28)) (portRef WCLK (instanceRef BU29)) (portRef WCLK (instanceRef BU30)) (portRef WCLK (instanceRef BU31)) (portRef WCLK (instanceRef BU32)) (portRef WCLK (instanceRef BU33)) (portRef WCLK (instanceRef BU34)) (portRef WCLK (instanceRef BU35)) (portRef WCLK (instanceRef BU36)) (portRef WCLK (instanceRef BU37)) (portRef WCLK (instanceRef BU38)) (portRef WCLK (instanceRef BU39)) (portRef WCLK (instanceRef BU40)) (portRef WCLK (instanceRef BU41)) (portRef WCLK (instanceRef BU42)) (portRef WCLK (instanceRef BU43)) (portRef WCLK (instanceRef BU44)) (portRef WCLK (instanceRef BU45)) (portRef WCLK (instanceRef BU46)) (portRef WCLK (instanceRef BU47)) (portRef WCLK (instanceRef BU48)) (portRef WCLK (instanceRef BU49)) (portRef WCLK (instanceRef BU50)) (portRef WCLK (instanceRef BU51)) (portRef WCLK (instanceRef BU52)) (portRef WCLK (instanceRef BU53)) (portRef WCLK (instanceRef BU54)) (portRef WCLK (instanceRef BU55)) (portRef WCLK (instanceRef BU56)) (portRef WCLK (instanceRef BU57)) (portRef WCLK (instanceRef BU58)) (portRef WCLK (instanceRef BU59)) (portRef WCLK (instanceRef BU60)) (portRef WCLK (instanceRef BU61)) (portRef WCLK (instanceRef BU62)) (portRef WCLK (instanceRef BU63)) (portRef WCLK (instanceRef BU64)) (portRef WCLK (instanceRef BU65)) ) ) (net (rename N6 "A<4>") (joined (portRef A_4_) (portRef I0 (instanceRef BU0)) (portRef I0 (instanceRef BU1)) (portRef I2 (instanceRef BU66)) (portRef I2 (instanceRef BU67)) (portRef I2 (instanceRef BU68)) (portRef I2 (instanceRef BU69)) (portRef I2 (instanceRef BU70)) (portRef I2 (instanceRef BU71)) (portRef I2 (instanceRef BU72)) (portRef I2 (instanceRef BU73)) (portRef I2 (instanceRef BU74)) (portRef I2 (instanceRef BU75)) (portRef I2 (instanceRef BU76)) (portRef I2 (instanceRef BU77)) (portRef I2 (instanceRef BU78)) (portRef I2 (instanceRef BU79)) (portRef I2 (instanceRef BU80)) (portRef I2 (instanceRef BU81)) (portRef I2 (instanceRef BU82)) (portRef I2 (instanceRef BU83)) (portRef I2 (instanceRef BU84)) (portRef I2 (instanceRef BU85)) (portRef I2 (instanceRef BU86)) (portRef I2 (instanceRef BU87)) (portRef I2 (instanceRef BU88)) (portRef I2 (instanceRef BU89)) (portRef I2 (instanceRef BU90)) (portRef I2 (instanceRef BU91)) (portRef I2 (instanceRef BU92)) (portRef I2 (instanceRef BU93)) (portRef I2 (instanceRef BU94)) (portRef I2 (instanceRef BU95)) (portRef I2 (instanceRef BU96)) (portRef I2 (instanceRef BU97)) ) ) (net (rename N5 "A<3>") (joined (portRef A_3_) (portRef A3 (instanceRef BU2)) (portRef A3 (instanceRef BU3)) (portRef A3 (instanceRef BU4)) (portRef A3 (instanceRef BU5)) (portRef A3 (instanceRef BU6)) (portRef A3 (instanceRef BU7)) (portRef A3 (instanceRef BU8)) (portRef A3 (instanceRef BU9)) (portRef A3 (instanceRef BU10)) (portRef A3 (instanceRef BU11)) (portRef A3 (instanceRef BU12)) (portRef A3 (instanceRef BU13)) (portRef A3 (instanceRef BU14)) (portRef A3 (instanceRef BU15)) (portRef A3 (instanceRef BU16)) (portRef A3 (instanceRef BU17)) (portRef A3 (instanceRef BU18)) (portRef A3 (instanceRef BU19)) (portRef A3 (instanceRef BU20)) (portRef A3 (instanceRef BU21)) (portRef A3 (instanceRef BU22)) (portRef A3 (instanceRef BU23)) (portRef A3 (instanceRef BU24)) (portRef A3 (instanceRef BU25)) (portRef A3 (instanceRef BU26)) (portRef A3 (instanceRef BU27)) (portRef A3 (instanceRef BU28)) (portRef A3 (instanceRef BU29)) (portRef A3 (instanceRef BU30)) (portRef A3 (instanceRef BU31)) (portRef A3 (instanceRef BU32)) (portRef A3 (instanceRef BU33)) (portRef A3 (instanceRef BU34)) (portRef A3 (instanceRef BU35)) (portRef A3 (instanceRef BU36)) (portRef A3 (instanceRef BU37)) (portRef A3 (instanceRef BU38)) (portRef A3 (instanceRef BU39)) (portRef A3 (instanceRef BU40)) (portRef A3 (instanceRef BU41)) (portRef A3 (instanceRef BU42)) (portRef A3 (instanceRef BU43)) (portRef A3 (instanceRef BU44)) (portRef A3 (instanceRef BU45)) (portRef A3 (instanceRef BU46)) (portRef A3 (instanceRef BU47)) (portRef A3 (instanceRef BU48)) (portRef A3 (instanceRef BU49)) (portRef A3 (instanceRef BU50)) (portRef A3 (instanceRef BU51)) (portRef A3 (instanceRef BU52)) (portRef A3 (instanceRef BU53)) (portRef A3 (instanceRef BU54)) (portRef A3 (instanceRef BU55)) (portRef A3 (instanceRef BU56)) (portRef A3 (instanceRef BU57)) (portRef A3 (instanceRef BU58)) (portRef A3 (instanceRef BU59)) (portRef A3 (instanceRef BU60)) (portRef A3 (instanceRef BU61)) (portRef A3 (instanceRef BU62)) (portRef A3 (instanceRef BU63)) (portRef A3 (instanceRef BU64)) (portRef A3 (instanceRef BU65)) ) ) (net (rename N4 "A<2>") (joined (portRef A_2_) (portRef A2 (instanceRef BU2)) (portRef A2 (instanceRef BU3)) (portRef A2 (instanceRef BU4)) (portRef A2 (instanceRef BU5)) (portRef A2 (instanceRef BU6)) (portRef A2 (instanceRef BU7)) (portRef A2 (instanceRef BU8)) (portRef A2 (instanceRef BU9)) (portRef A2 (instanceRef BU10)) (portRef A2 (instanceRef BU11)) (portRef A2 (instanceRef BU12)) (portRef A2 (instanceRef BU13)) (portRef A2 (instanceRef BU14)) (portRef A2 (instanceRef BU15)) (portRef A2 (instanceRef BU16)) (portRef A2 (instanceRef BU17)) (portRef A2 (instanceRef BU18)) (portRef A2 (instanceRef BU19)) (portRef A2 (instanceRef BU20)) (portRef A2 (instanceRef BU21)) (portRef A2 (instanceRef BU22)) (portRef A2 (instanceRef BU23)) (portRef A2 (instanceRef BU24)) (portRef A2 (instanceRef BU25)) (portRef A2 (instanceRef BU26)) (portRef A2 (instanceRef BU27)) (portRef A2 (instanceRef BU28)) (portRef A2 (instanceRef BU29)) (portRef A2 (instanceRef BU30)) (portRef A2 (instanceRef BU31)) (portRef A2 (instanceRef BU32)) (portRef A2 (instanceRef BU33)) (portRef A2 (instanceRef BU34)) (portRef A2 (instanceRef BU35)) (portRef A2 (instanceRef BU36)) (portRef A2 (instanceRef BU37)) (portRef A2 (instanceRef BU38)) (portRef A2 (instanceRef BU39)) (portRef A2 (instanceRef BU40)) (portRef A2 (instanceRef BU41)) (portRef A2 (instanceRef BU42)) (portRef A2 (instanceRef BU43)) (portRef A2 (instanceRef BU44)) (portRef A2 (instanceRef BU45)) (portRef A2 (instanceRef BU46)) (portRef A2 (instanceRef BU47)) (portRef A2 (instanceRef BU48)) (portRef A2 (instanceRef BU49)) (portRef A2 (instanceRef BU50)) (portRef A2 (instanceRef BU51)) (portRef A2 (instanceRef BU52)) (portRef A2 (instanceRef BU53)) (portRef A2 (instanceRef BU54)) (portRef A2 (instanceRef BU55)) (portRef A2 (instanceRef BU56)) (portRef A2 (instanceRef BU57)) (portRef A2 (instanceRef BU58)) (portRef A2 (instanceRef BU59)) (portRef A2 (instanceRef BU60)) (portRef A2 (instanceRef BU61)) (portRef A2 (instanceRef BU62)) (portRef A2 (instanceRef BU63)) (portRef A2 (instanceRef BU64)) (portRef A2 (instanceRef BU65)) ) ) (net (rename N3 "A<1>") (joined (portRef A_1_) (portRef A1 (instanceRef BU2)) (portRef A1 (instanceRef BU3)) (portRef A1 (instanceRef BU4)) (portRef A1 (instanceRef BU5)) (portRef A1 (instanceRef BU6)) (portRef A1 (instanceRef BU7)) (portRef A1 (instanceRef BU8)) (portRef A1 (instanceRef BU9)) (portRef A1 (instanceRef BU10)) (portRef A1 (instanceRef BU11)) (portRef A1 (instanceRef BU12)) (portRef A1 (instanceRef BU13)) (portRef A1 (instanceRef BU14)) (portRef A1 (instanceRef BU15)) (portRef A1 (instanceRef BU16)) (portRef A1 (instanceRef BU17)) (portRef A1 (instanceRef BU18)) (portRef A1 (instanceRef BU19)) (portRef A1 (instanceRef BU20)) (portRef A1 (instanceRef BU21)) (portRef A1 (instanceRef BU22)) (portRef A1 (instanceRef BU23)) (portRef A1 (instanceRef BU24)) (portRef A1 (instanceRef BU25)) (portRef A1 (instanceRef BU26)) (portRef A1 (instanceRef BU27)) (portRef A1 (instanceRef BU28)) (portRef A1 (instanceRef BU29)) (portRef A1 (instanceRef BU30)) (portRef A1 (instanceRef BU31)) (portRef A1 (instanceRef BU32)) (portRef A1 (instanceRef BU33)) (portRef A1 (instanceRef BU34)) (portRef A1 (instanceRef BU35)) (portRef A1 (instanceRef BU36)) (portRef A1 (instanceRef BU37)) (portRef A1 (instanceRef BU38)) (portRef A1 (instanceRef BU39)) (portRef A1 (instanceRef BU40)) (portRef A1 (instanceRef BU41)) (portRef A1 (instanceRef BU42)) (portRef A1 (instanceRef BU43)) (portRef A1 (instanceRef BU44)) (portRef A1 (instanceRef BU45)) (portRef A1 (instanceRef BU46)) (portRef A1 (instanceRef BU47)) (portRef A1 (instanceRef BU48)) (portRef A1 (instanceRef BU49)) (portRef A1 (instanceRef BU50)) (portRef A1 (instanceRef BU51)) (portRef A1 (instanceRef BU52)) (portRef A1 (instanceRef BU53)) (portRef A1 (instanceRef BU54)) (portRef A1 (instanceRef BU55)) (portRef A1 (instanceRef BU56)) (portRef A1 (instanceRef BU57)) (portRef A1 (instanceRef BU58)) (portRef A1 (instanceRef BU59)) (portRef A1 (instanceRef BU60)) (portRef A1 (instanceRef BU61)) (portRef A1 (instanceRef BU62)) (portRef A1 (instanceRef BU63)) (portRef A1 (instanceRef BU64)) (portRef A1 (instanceRef BU65)) ) ) (net (rename N2 "A<0>") (joined (portRef A_0_) (portRef A0 (instanceRef BU2)) (portRef A0 (instanceRef BU3)) (portRef A0 (instanceRef BU4)) (portRef A0 (instanceRef BU5)) (portRef A0 (instanceRef BU6)) (portRef A0 (instanceRef BU7)) (portRef A0 (instanceRef BU8)) (portRef A0 (instanceRef BU9)) (portRef A0 (instanceRef BU10)) (portRef A0 (instanceRef BU11)) (portRef A0 (instanceRef BU12)) (portRef A0 (instanceRef BU13)) (portRef A0 (instanceRef BU14)) (portRef A0 (instanceRef BU15)) (portRef A0 (instanceRef BU16)) (portRef A0 (instanceRef BU17)) (portRef A0 (instanceRef BU18)) (portRef A0 (instanceRef BU19)) (portRef A0 (instanceRef BU20)) (portRef A0 (instanceRef BU21)) (portRef A0 (instanceRef BU22)) (portRef A0 (instanceRef BU23)) (portRef A0 (instanceRef BU24)) (portRef A0 (instanceRef BU25)) (portRef A0 (instanceRef BU26)) (portRef A0 (instanceRef BU27)) (portRef A0 (instanceRef BU28)) (portRef A0 (instanceRef BU29)) (portRef A0 (instanceRef BU30)) (portRef A0 (instanceRef BU31)) (portRef A0 (instanceRef BU32)) (portRef A0 (instanceRef BU33)) (portRef A0 (instanceRef BU34)) (portRef A0 (instanceRef BU35)) (portRef A0 (instanceRef BU36)) (portRef A0 (instanceRef BU37)) (portRef A0 (instanceRef BU38)) (portRef A0 (instanceRef BU39)) (portRef A0 (instanceRef BU40)) (portRef A0 (instanceRef BU41)) (portRef A0 (instanceRef BU42)) (portRef A0 (instanceRef BU43)) (portRef A0 (instanceRef BU44)) (portRef A0 (instanceRef BU45)) (portRef A0 (instanceRef BU46)) (portRef A0 (instanceRef BU47)) (portRef A0 (instanceRef BU48)) (portRef A0 (instanceRef BU49)) (portRef A0 (instanceRef BU50)) (portRef A0 (instanceRef BU51)) (portRef A0 (instanceRef BU52)) (portRef A0 (instanceRef BU53)) (portRef A0 (instanceRef BU54)) (portRef A0 (instanceRef BU55)) (portRef A0 (instanceRef BU56)) (portRef A0 (instanceRef BU57)) (portRef A0 (instanceRef BU58)) (portRef A0 (instanceRef BU59)) (portRef A0 (instanceRef BU60)) (portRef A0 (instanceRef BU61)) (portRef A0 (instanceRef BU62)) (portRef A0 (instanceRef BU63)) (portRef A0 (instanceRef BU64)) (portRef A0 (instanceRef BU65)) ) ) )))) (design gpr_ram (cellRef gpr_ram (libraryRef test_lib)) (property PART (string "XCV100BG256") (owner "Xilinx"))) )